Merge branch 'master' of git://git.denx.de/u-boot-video
authorTom Rini <trini@konsulko.com>
Mon, 30 Nov 2015 12:10:18 +0000 (07:10 -0500)
committerTom Rini <trini@konsulko.com>
Mon, 30 Nov 2015 12:10:18 +0000 (07:10 -0500)
1265 files changed:
Makefile
README
arch/arm/Kconfig
arch/arm/cpu/armv7/am33xx/board.c
arch/arm/cpu/armv7/sunxi/Makefile
arch/arm/cpu/armv7/sunxi/board.c
arch/arm/cpu/armv7/sunxi/clock_sun6i.c
arch/arm/cpu/armv7/sunxi/cpu_info.c
arch/arm/cpu/armv7/sunxi/dram_sun8i_h3.c [new file with mode: 0644]
arch/arm/cpu/armv8/zynqmp/mp.c
arch/arm/dts/Makefile
arch/arm/dts/rk3288.dtsi
arch/arm/dts/sun7i-a20-lamobo-r1.dts [new file with mode: 0644]
arch/arm/dts/sun8i-h3-orangepi-pc.dts [new file with mode: 0644]
arch/arm/dts/sun8i-h3-orangepi-plus.dts [new file with mode: 0644]
arch/arm/dts/sun8i-h3.dtsi [new file with mode: 0644]
arch/arm/include/asm/arch-am33xx/hardware_am43xx.h
arch/arm/include/asm/arch-lpc32xx/config.h
arch/arm/include/asm/arch-sunxi/clock_sun6i.h
arch/arm/include/asm/arch-sunxi/dram.h
arch/arm/include/asm/arch-sunxi/dram_sun8i_h3.h [new file with mode: 0644]
arch/arm/include/asm/arch-sunxi/gpio.h
arch/arm/mach-kirkwood/Kconfig
arch/arm/mach-mvebu/include/mach/config.h
arch/arm/mach-rockchip/Kconfig
arch/arm/mach-tegra/Kconfig
arch/arm/mach-tegra/board.c
arch/blackfin/lib/cmd_cache_dump.c
arch/microblaze/include/asm/bitops.h
arch/microblaze/include/asm/system.h
arch/nios2/dts/10m50_devboard.dts
arch/powerpc/cpu/mpc8260/ether_fcc.c
arch/powerpc/cpu/mpc8260/i2c.c
arch/powerpc/cpu/mpc8xx/i2c.c
arch/powerpc/include/asm/config.h
arch/sandbox/Kconfig
arch/sandbox/cpu/cpu.c
arch/sandbox/cpu/start.c
arch/sandbox/cpu/state.c
arch/sandbox/dts/sandbox.dts
arch/sandbox/dts/test.dts
arch/sandbox/include/asm/state.h
arch/sandbox/include/asm/test.h
arch/sh/lib/board.c
arch/sparc/lib/board.c
arch/x86/Kconfig
arch/x86/dts/bayleybay.dts
arch/x86/dts/chromebook_link.dts
arch/x86/dts/crownbay.dts
arch/x86/dts/keyboard.dtsi [new file with mode: 0644]
arch/x86/dts/qemu-x86_i440fx.dts
arch/x86/dts/qemu-x86_q35.dts
arch/x86/dts/serial.dtsi
board/Arcturus/ucp1020/spl.c
board/amcc/yucca/cmd_yucca.c
board/armltd/vexpress64/Makefile
board/armltd/vexpress64/pcie.c
board/armltd/vexpress64/vexpress64.c
board/astro/mcf5373l/fpga.c
board/cobra5272/flash.c
board/corscience/tricorder/tricorder-eeprom.c
board/esd/common/cmd_loadpci.c
board/esd/cpci405/cpci405.c
board/esd/pmc405de/pmc405de.c
board/esd/pmc440/cmd_pmc440.c
board/esd/pmc440/pmc440.c
board/esd/vme8349/caddy.c
board/freescale/b4860qds/spl.c
board/freescale/c29xpcie/spl.c
board/freescale/mpc8569mds/mpc8569mds.c
board/freescale/p1010rdb/spl.c
board/freescale/p1022ds/spl.c
board/freescale/p1_p2_rdb_pc/spl.c
board/freescale/t102xqds/spl.c
board/freescale/t102xrdb/spl.c
board/freescale/t104xrdb/spl.c
board/freescale/t208xqds/spl.c
board/freescale/t208xrdb/spl.c
board/freescale/t4qds/spl.c
board/freescale/t4rdb/spl.c
board/gdsys/common/cmd_ioloop.c
board/inka4x0/inkadiag.c
board/isee/igep00x0/igep00x0.c
board/kosagi/novena/novena.c
board/lge/sniper/sniper.c
board/logicpd/omap3som/omap3logic.c
board/logicpd/zoom1/zoom1.c
board/lwmon5/kbd.c
board/mpl/common/kbd.c
board/mpl/pati/pati.c
board/mpl/pip405/README
board/overo/overo.c
board/quipos/cairo/cairo.c
board/renesas/sh7785lcr/selfcheck.c
board/sandbox/sandbox.c
board/sunxi/Kconfig
board/sunxi/MAINTAINERS
board/ti/am57xx/mux_data.h
board/ti/beagle/beagle.c
board/ti/dra7xx/mux_data.h
board/timll/devkit8000/devkit8000.c
board/tqc/tqm5200/cmd_stk52xx.c
board/tqc/tqm5200/tqm5200.c
board/xes/xpedite1000/xpedite1000.c
board/zyxel/nsa310s/Kconfig [new file with mode: 0644]
board/zyxel/nsa310s/MAINTAINERS [new file with mode: 0644]
board/zyxel/nsa310s/Makefile [new file with mode: 0644]
board/zyxel/nsa310s/kwbimage.cfg [new file with mode: 0644]
board/zyxel/nsa310s/nsa310s.c [new file with mode: 0644]
board/zyxel/nsa310s/nsa310s.h [new file with mode: 0644]
common/Kconfig
common/autoboot.c
common/board_f.c
common/board_r.c
common/cli.c
common/cli_hush.c
common/cli_simple.c
common/cmd_armflash.c
common/cmd_bedbug.c
common/cmd_dcr.c
common/cmd_dfu.c
common/cmd_eeprom.c
common/cmd_fastboot.c
common/cmd_fpgad.c
common/cmd_fuse.c
common/cmd_gpt.c
common/cmd_i2c.c
common/cmd_load.c
common/cmd_mem.c
common/cmd_mii.c
common/cmd_misc.c
common/cmd_mmc.c
common/cmd_nand.c
common/cmd_nvedit.c
common/cmd_otp.c
common/cmd_pci.c
common/cmd_usb.c
common/cmd_usb_mass_storage.c
common/command.c
common/console.c
common/env_eeprom.c
common/env_ubi.c
common/image.c
common/iomux.c
common/main.c
common/stdio.c
common/usb_hub.c
common/usb_kbd.c
configs/10m50_defconfig
configs/A10-OLinuXino-Lime_defconfig
configs/A10s-OLinuXino-M_defconfig
configs/A13-OLinuXinoM_defconfig
configs/A13-OLinuXino_defconfig
configs/A20-OLinuXino-Lime2_defconfig
configs/A20-OLinuXino-Lime_defconfig
configs/A20-OLinuXino_MICRO_defconfig
configs/A20-Olimex-SOM-EVB_defconfig
configs/Ainol_AW1_defconfig
configs/Ampe_A76_defconfig
configs/Auxtek-T003_defconfig
configs/Auxtek-T004_defconfig
configs/B4420QDS_NAND_defconfig
configs/B4420QDS_SPIFLASH_defconfig
configs/B4420QDS_defconfig
configs/B4860QDS_NAND_defconfig
configs/B4860QDS_SECURE_BOOT_defconfig
configs/B4860QDS_SPIFLASH_defconfig
configs/B4860QDS_SRIO_PCIE_BOOT_defconfig
configs/B4860QDS_defconfig
configs/BSC9131RDB_NAND_SYSCLK100_defconfig
configs/BSC9131RDB_NAND_defconfig
configs/BSC9131RDB_SPIFLASH_SYSCLK100_defconfig
configs/BSC9131RDB_SPIFLASH_defconfig
configs/BSC9132QDS_NAND_DDRCLK100_SECURE_defconfig
configs/BSC9132QDS_NAND_DDRCLK100_defconfig
configs/BSC9132QDS_NAND_DDRCLK133_SECURE_defconfig
configs/BSC9132QDS_NAND_DDRCLK133_defconfig
configs/BSC9132QDS_NOR_DDRCLK100_SECURE_defconfig
configs/BSC9132QDS_NOR_DDRCLK100_defconfig
configs/BSC9132QDS_NOR_DDRCLK133_SECURE_defconfig
configs/BSC9132QDS_NOR_DDRCLK133_defconfig
configs/BSC9132QDS_SDCARD_DDRCLK100_SECURE_defconfig
configs/BSC9132QDS_SDCARD_DDRCLK100_defconfig
configs/BSC9132QDS_SDCARD_DDRCLK133_SECURE_defconfig
configs/BSC9132QDS_SDCARD_DDRCLK133_defconfig
configs/BSC9132QDS_SPIFLASH_DDRCLK100_SECURE_defconfig
configs/BSC9132QDS_SPIFLASH_DDRCLK100_defconfig
configs/BSC9132QDS_SPIFLASH_DDRCLK133_SECURE_defconfig
configs/BSC9132QDS_SPIFLASH_DDRCLK133_defconfig
configs/Bananapi_defconfig
configs/Bananapro_defconfig
configs/C29XPCIE_NAND_defconfig
configs/C29XPCIE_NOR_SECBOOT_defconfig
configs/C29XPCIE_SPIFLASH_SECBOOT_defconfig
configs/C29XPCIE_SPIFLASH_defconfig
configs/C29XPCIE_defconfig
configs/CHIP_defconfig
configs/CPCI2DP_defconfig
configs/CPCI4052_defconfig
configs/CSQ_CS908_defconfig
configs/Chuwi_V7_CW0825_defconfig
configs/Colombus_defconfig
configs/Cubieboard2_defconfig
configs/Cubieboard_defconfig
configs/Cubietruck_defconfig
configs/Cyrus_P5020_defconfig
configs/Cyrus_P5040_defconfig
configs/Hummingbird_A31_defconfig
configs/Hyundai_A7HD_defconfig
configs/Lamobo_R1_defconfig [new file with mode: 0644]
configs/Linksprite_pcDuino3_Nano_defconfig
configs/Linksprite_pcDuino3_defconfig
configs/Linksprite_pcDuino_defconfig
configs/M52277EVB_defconfig
configs/M52277EVB_stmicro_defconfig
configs/M54418TWR_defconfig
configs/M54418TWR_nand_mii_defconfig
configs/M54418TWR_nand_rmii_defconfig
configs/M54418TWR_nand_rmii_lowfreq_defconfig
configs/M54418TWR_serial_mii_defconfig
configs/M54418TWR_serial_rmii_defconfig
configs/M54451EVB_defconfig
configs/M54451EVB_stmicro_defconfig
configs/M54455EVB_a66_defconfig
configs/M54455EVB_defconfig
configs/M54455EVB_i66_defconfig
configs/M54455EVB_intel_defconfig
configs/M54455EVB_stm33_defconfig
configs/MIP405T_defconfig
configs/MIP405_defconfig
configs/MK808C_defconfig
configs/MPC8308RDB_defconfig
configs/MPC8313ERDB_33_defconfig
configs/MPC8313ERDB_66_defconfig
configs/MPC8313ERDB_NAND_33_defconfig
configs/MPC8313ERDB_NAND_66_defconfig
configs/MPC8315ERDB_defconfig
configs/MPC8323ERDB_defconfig
configs/MPC832XEMDS_ATM_defconfig
configs/MPC832XEMDS_HOST_33_defconfig
configs/MPC832XEMDS_HOST_66_defconfig
configs/MPC832XEMDS_SLAVE_defconfig
configs/MPC832XEMDS_defconfig
configs/MPC8349EMDS_defconfig
configs/MPC8349ITXGP_defconfig
configs/MPC8349ITX_LOWBOOT_defconfig
configs/MPC8349ITX_defconfig
configs/MPC837XEMDS_HOST_defconfig
configs/MPC837XEMDS_defconfig
configs/MPC837XERDB_defconfig
configs/MPC8536DS_36BIT_defconfig
configs/MPC8536DS_SDCARD_defconfig
configs/MPC8536DS_SPIFLASH_defconfig
configs/MPC8536DS_defconfig
configs/MPC8540ADS_defconfig
configs/MPC8541CDS_defconfig
configs/MPC8541CDS_legacy_defconfig
configs/MPC8544DS_defconfig
configs/MPC8548CDS_36BIT_defconfig
configs/MPC8548CDS_defconfig
configs/MPC8548CDS_legacy_defconfig
configs/MPC8555CDS_defconfig
configs/MPC8555CDS_legacy_defconfig
configs/MPC8568MDS_defconfig
configs/MPC8569MDS_ATM_defconfig
configs/MPC8569MDS_defconfig
configs/MPC8572DS_36BIT_defconfig
configs/MPC8572DS_defconfig
configs/MPC8610HPCD_defconfig
configs/MPC8641HPCN_36BIT_defconfig
configs/MPC8641HPCN_defconfig
configs/MSI_Primo73_defconfig
configs/MSI_Primo81_defconfig
configs/Marsboard_A10_defconfig
configs/Mele_A1000G_quad_defconfig
configs/Mele_A1000_defconfig
configs/Mele_I7_defconfig
configs/Mele_M3_defconfig
configs/Mele_M5_defconfig
configs/Mele_M9_defconfig
configs/Merrii_A80_Optimus_defconfig
configs/Mini-X_defconfig
configs/Orangepi_defconfig
configs/Orangepi_mini_defconfig
configs/P1010RDB-PA_36BIT_NAND_SECBOOT_defconfig
configs/P1010RDB-PA_36BIT_NAND_defconfig
configs/P1010RDB-PA_36BIT_NOR_SECBOOT_defconfig
configs/P1010RDB-PA_36BIT_NOR_defconfig
configs/P1010RDB-PA_36BIT_SDCARD_defconfig
configs/P1010RDB-PA_36BIT_SPIFLASH_SECBOOT_defconfig
configs/P1010RDB-PA_36BIT_SPIFLASH_defconfig
configs/P1010RDB-PA_NAND_SECBOOT_defconfig
configs/P1010RDB-PA_NAND_defconfig
configs/P1010RDB-PA_NOR_SECBOOT_defconfig
configs/P1010RDB-PA_NOR_defconfig
configs/P1010RDB-PA_SDCARD_defconfig
configs/P1010RDB-PA_SPIFLASH_SECBOOT_defconfig
configs/P1010RDB-PA_SPIFLASH_defconfig
configs/P1010RDB-PB_36BIT_NAND_SECBOOT_defconfig
configs/P1010RDB-PB_36BIT_NAND_defconfig
configs/P1010RDB-PB_36BIT_NOR_SECBOOT_defconfig
configs/P1010RDB-PB_36BIT_NOR_defconfig
configs/P1010RDB-PB_36BIT_SDCARD_defconfig
configs/P1010RDB-PB_36BIT_SPIFLASH_SECBOOT_defconfig
configs/P1010RDB-PB_36BIT_SPIFLASH_defconfig
configs/P1010RDB-PB_NAND_SECBOOT_defconfig
configs/P1010RDB-PB_NAND_defconfig
configs/P1010RDB-PB_NOR_SECBOOT_defconfig
configs/P1010RDB-PB_NOR_defconfig
configs/P1010RDB-PB_SDCARD_defconfig
configs/P1010RDB-PB_SPIFLASH_SECBOOT_defconfig
configs/P1010RDB-PB_SPIFLASH_defconfig
configs/P1020MBG-PC_36BIT_SDCARD_defconfig
configs/P1020MBG-PC_36BIT_defconfig
configs/P1020MBG-PC_SDCARD_defconfig
configs/P1020MBG-PC_defconfig
configs/P1020RDB-PC_36BIT_NAND_defconfig
configs/P1020RDB-PC_36BIT_SDCARD_defconfig
configs/P1020RDB-PC_36BIT_SPIFLASH_defconfig
configs/P1020RDB-PC_36BIT_defconfig
configs/P1020RDB-PC_NAND_defconfig
configs/P1020RDB-PC_SDCARD_defconfig
configs/P1020RDB-PC_SPIFLASH_defconfig
configs/P1020RDB-PC_defconfig
configs/P1020RDB-PD_NAND_defconfig
configs/P1020RDB-PD_SDCARD_defconfig
configs/P1020RDB-PD_SPIFLASH_defconfig
configs/P1020RDB-PD_defconfig
configs/P1020UTM-PC_36BIT_SDCARD_defconfig
configs/P1020UTM-PC_36BIT_defconfig
configs/P1020UTM-PC_SDCARD_defconfig
configs/P1020UTM-PC_defconfig
configs/P1021RDB-PC_36BIT_NAND_defconfig
configs/P1021RDB-PC_36BIT_SDCARD_defconfig
configs/P1021RDB-PC_36BIT_SPIFLASH_defconfig
configs/P1021RDB-PC_36BIT_defconfig
configs/P1021RDB-PC_NAND_defconfig
configs/P1021RDB-PC_SDCARD_defconfig
configs/P1021RDB-PC_SPIFLASH_defconfig
configs/P1021RDB-PC_defconfig
configs/P1022DS_36BIT_NAND_defconfig
configs/P1022DS_36BIT_SDCARD_defconfig
configs/P1022DS_36BIT_SPIFLASH_defconfig
configs/P1022DS_36BIT_defconfig
configs/P1022DS_NAND_defconfig
configs/P1022DS_SDCARD_defconfig
configs/P1022DS_SPIFLASH_defconfig
configs/P1022DS_defconfig
configs/P1023RDB_defconfig
configs/P1024RDB_36BIT_defconfig
configs/P1024RDB_NAND_defconfig
configs/P1024RDB_SDCARD_defconfig
configs/P1024RDB_SPIFLASH_defconfig
configs/P1024RDB_defconfig
configs/P1025RDB_36BIT_defconfig
configs/P1025RDB_NAND_defconfig
configs/P1025RDB_SDCARD_defconfig
configs/P1025RDB_SPIFLASH_defconfig
configs/P1025RDB_defconfig
configs/P2020RDB-PC_36BIT_NAND_defconfig
configs/P2020RDB-PC_36BIT_SDCARD_defconfig
configs/P2020RDB-PC_36BIT_SPIFLASH_defconfig
configs/P2020RDB-PC_36BIT_defconfig
configs/P2020RDB-PC_NAND_defconfig
configs/P2020RDB-PC_SDCARD_defconfig
configs/P2020RDB-PC_SPIFLASH_defconfig
configs/P2020RDB-PC_defconfig
configs/P2041RDB_NAND_defconfig
configs/P2041RDB_SDCARD_defconfig
configs/P2041RDB_SECURE_BOOT_defconfig
configs/P2041RDB_SPIFLASH_defconfig
configs/P2041RDB_SRIO_PCIE_BOOT_defconfig
configs/P2041RDB_defconfig
configs/P3041DS_NAND_SECURE_BOOT_defconfig
configs/P3041DS_NAND_defconfig
configs/P3041DS_SDCARD_defconfig
configs/P3041DS_SECURE_BOOT_defconfig
configs/P3041DS_SPIFLASH_defconfig
configs/P3041DS_SRIO_PCIE_BOOT_defconfig
configs/P3041DS_defconfig
configs/P4080DS_SDCARD_defconfig
configs/P4080DS_SECURE_BOOT_defconfig
configs/P4080DS_SPIFLASH_defconfig
configs/P4080DS_SRIO_PCIE_BOOT_defconfig
configs/P4080DS_defconfig
configs/P5020DS_NAND_SECURE_BOOT_defconfig
configs/P5020DS_NAND_defconfig
configs/P5020DS_SDCARD_defconfig
configs/P5020DS_SECURE_BOOT_defconfig
configs/P5020DS_SPIFLASH_defconfig
configs/P5020DS_SRIO_PCIE_BOOT_defconfig
configs/P5020DS_defconfig
configs/P5040DS_NAND_SECURE_BOOT_defconfig
configs/P5040DS_NAND_defconfig
configs/P5040DS_SDCARD_defconfig
configs/P5040DS_SECURE_BOOT_defconfig
configs/P5040DS_SPIFLASH_defconfig
configs/P5040DS_defconfig
configs/PIP405_defconfig
configs/PLU405_defconfig
configs/PMC405DE_defconfig
configs/PMC440_defconfig
configs/Sinlinx_SinA33_defconfig
configs/Sinovoip_BPI_M2_defconfig
configs/T1023RDB_NAND_defconfig
configs/T1023RDB_SDCARD_defconfig
configs/T1023RDB_SECURE_BOOT_defconfig
configs/T1023RDB_SPIFLASH_defconfig
configs/T1023RDB_defconfig
configs/T1024QDS_DDR4_SECURE_BOOT_defconfig
configs/T1024QDS_DDR4_defconfig
configs/T1024QDS_NAND_defconfig
configs/T1024QDS_SDCARD_defconfig
configs/T1024QDS_SECURE_BOOT_defconfig
configs/T1024QDS_SPIFLASH_defconfig
configs/T1024QDS_defconfig
configs/T1024RDB_NAND_defconfig
configs/T1024RDB_SDCARD_defconfig
configs/T1024RDB_SECURE_BOOT_defconfig
configs/T1024RDB_SPIFLASH_defconfig
configs/T1024RDB_defconfig
configs/T1040D4RDB_NAND_defconfig
configs/T1040D4RDB_SDCARD_defconfig
configs/T1040D4RDB_SECURE_BOOT_defconfig
configs/T1040D4RDB_SPIFLASH_defconfig
configs/T1040D4RDB_defconfig
configs/T1040QDS_DDR4_defconfig
configs/T1040QDS_SECURE_BOOT_defconfig
configs/T1040QDS_defconfig
configs/T1040RDB_NAND_defconfig
configs/T1040RDB_SDCARD_defconfig
configs/T1040RDB_SECURE_BOOT_defconfig
configs/T1040RDB_SPIFLASH_defconfig
configs/T1040RDB_defconfig
configs/T1042D4RDB_NAND_defconfig
configs/T1042D4RDB_SDCARD_defconfig
configs/T1042D4RDB_SECURE_BOOT_defconfig
configs/T1042D4RDB_SPIFLASH_defconfig
configs/T1042D4RDB_defconfig
configs/T1042RDB_PI_NAND_defconfig
configs/T1042RDB_PI_SDCARD_defconfig
configs/T1042RDB_PI_SPIFLASH_defconfig
configs/T1042RDB_PI_defconfig
configs/T1042RDB_SECURE_BOOT_defconfig
configs/T1042RDB_defconfig
configs/T2080QDS_NAND_defconfig
configs/T2080QDS_SDCARD_defconfig
configs/T2080QDS_SECURE_BOOT_defconfig
configs/T2080QDS_SPIFLASH_defconfig
configs/T2080QDS_SRIO_PCIE_BOOT_defconfig
configs/T2080QDS_defconfig
configs/T2080RDB_NAND_defconfig
configs/T2080RDB_SDCARD_defconfig
configs/T2080RDB_SECURE_BOOT_defconfig
configs/T2080RDB_SPIFLASH_defconfig
configs/T2080RDB_SRIO_PCIE_BOOT_defconfig
configs/T2080RDB_defconfig
configs/T2081QDS_NAND_defconfig
configs/T2081QDS_SDCARD_defconfig
configs/T2081QDS_SPIFLASH_defconfig
configs/T2081QDS_SRIO_PCIE_BOOT_defconfig
configs/T2081QDS_defconfig
configs/T4160QDS_NAND_defconfig
configs/T4160QDS_SDCARD_defconfig
configs/T4160QDS_SECURE_BOOT_defconfig
configs/T4160QDS_defconfig
configs/T4160RDB_defconfig
configs/T4240QDS_NAND_defconfig
configs/T4240QDS_SDCARD_defconfig
configs/T4240QDS_SECURE_BOOT_defconfig
configs/T4240QDS_SRIO_PCIE_BOOT_defconfig
configs/T4240QDS_defconfig
configs/T4240RDB_SDCARD_defconfig
configs/T4240RDB_defconfig
configs/TQM834x_defconfig
configs/TWR-P1025_defconfig
configs/UCP1020_SPIFLASH_defconfig
configs/UCP1020_defconfig
configs/UTOO_P66_defconfig
configs/VOM405_defconfig
configs/Wexler_TAB7200_defconfig
configs/Wits_Pro_A20_DKT_defconfig
configs/Wobo_i5_defconfig
configs/Yones_Toptech_BD1078_defconfig
configs/acadia_defconfig
configs/adp-ag101p_defconfig
configs/alt_defconfig
configs/am335x_baltos_defconfig
configs/am335x_boneblack_defconfig
configs/am335x_boneblack_vboot_defconfig
configs/am335x_evm_defconfig
configs/am335x_evm_nor_defconfig
configs/am335x_evm_norboot_defconfig
configs/am335x_evm_spiboot_defconfig
configs/am335x_evm_usbspl_defconfig
configs/am335x_gp_evm_defconfig
configs/am335x_igep0033_defconfig
configs/am335x_sl50_defconfig
configs/am3517_crane_defconfig
configs/am3517_evm_defconfig
configs/am437x_gp_evm_defconfig
configs/am437x_sk_evm_defconfig
configs/am43xx_evm_defconfig
configs/am43xx_evm_ethboot_defconfig
configs/am43xx_evm_qspiboot_defconfig
configs/am43xx_evm_usbhost_boot_defconfig
configs/am57xx_evm_defconfig
configs/am57xx_evm_nodt_defconfig
configs/apalis_t30_defconfig
configs/arches_defconfig
configs/aristainetos2_defconfig
configs/aristainetos2b_defconfig
configs/aristainetos_defconfig
configs/aspenite_defconfig
configs/at91sam9n12ek_mmc_defconfig
configs/at91sam9n12ek_nandflash_defconfig
configs/at91sam9n12ek_spiflash_defconfig
configs/at91sam9x5ek_dataflash_defconfig
configs/at91sam9x5ek_mmc_defconfig
configs/at91sam9x5ek_nandflash_defconfig
configs/at91sam9x5ek_spiflash_defconfig
configs/atngw100_defconfig
configs/atngw100mkii_defconfig
configs/axm_defconfig
configs/axs101_defconfig
configs/axs103_defconfig
configs/ba10_tv_box_defconfig
configs/bamboo_defconfig
configs/bayleybay_defconfig
configs/bcm11130_defconfig
configs/bcm11130_nand_defconfig
configs/bcm28155_ap_defconfig
configs/bcm28155_w1d_defconfig
configs/bcm911360_entphn-ns_defconfig
configs/bcm911360_entphn_defconfig
configs/bcm911360k_defconfig
configs/bcm958300k-ns_defconfig
configs/bcm958300k_defconfig
configs/bcm958305k_defconfig
configs/bcm958622hr_defconfig
configs/beaver_defconfig
configs/bf518f-ezbrd_defconfig
configs/bf525-ucr2_defconfig
configs/bf526-ezbrd_defconfig
configs/bf527-ad7160-eval_defconfig
configs/bf527-ezkit-v2_defconfig
configs/bf527-ezkit_defconfig
configs/bf527-sdp_defconfig
configs/bf537-minotaur_defconfig
configs/bf537-pnav_defconfig
configs/bf537-srv1_defconfig
configs/bf537-stamp_defconfig
configs/bf548-ezkit_defconfig
configs/bf561-acvilon_defconfig
configs/bf609-ezkit_defconfig
configs/bg0900_defconfig
configs/birdland_bav335a_defconfig
configs/birdland_bav335b_defconfig
configs/blackstamp_defconfig
configs/blackvme_defconfig
configs/br4_defconfig
configs/bubinga_defconfig
configs/caddy2_defconfig
configs/cairo_defconfig
configs/calimain_defconfig
configs/canyonlands_defconfig
configs/cardhu_defconfig
configs/chromebook_jerry_defconfig
configs/chromebook_link_defconfig
configs/chromebox_panther_defconfig
configs/cm_t335_defconfig
configs/cm_t3517_defconfig
configs/cm_t35_defconfig
configs/cm_t43_defconfig
configs/cm_t54_defconfig
configs/colibri_t20_defconfig
configs/colibri_t30_defconfig
configs/controlcenterd_36BIT_SDCARD_DEVELOP_defconfig
configs/controlcenterd_36BIT_SDCARD_defconfig
configs/controlcenterd_TRAILBLAZER_DEVELOP_defconfig
configs/controlcenterd_TRAILBLAZER_defconfig
configs/coreboot-x86_defconfig
configs/crownbay_defconfig
configs/d2net_v2_defconfig
configs/da850_am18xxevm_defconfig
configs/da850evm_defconfig
configs/da850evm_direct_nor_defconfig
configs/dalmore_defconfig
configs/db-88f6820-gp_defconfig
configs/db-mv784mp-gp_defconfig
configs/devconcenter_defconfig
configs/devkit3250_defconfig
configs/devkit8000_defconfig
configs/dlvision-10g_defconfig
configs/dlvision_defconfig
configs/dns325_defconfig
configs/dockstar_defconfig
configs/dra72_evm_defconfig
configs/dra74_evm_defconfig
configs/dra7xx_evm_defconfig
configs/dra7xx_evm_qspiboot_defconfig
configs/dra7xx_evm_uart3_defconfig
configs/draco_defconfig
configs/dreamplug_defconfig
configs/duovero_defconfig
configs/e2220-1170_defconfig
configs/ea20_defconfig
configs/eco5pk_defconfig
configs/edminiv2_defconfig
configs/efi-x86_defconfig
configs/ethernut5_defconfig
configs/firefly-rk3288_defconfig
configs/fx12mm_defconfig
configs/fx12mm_flash_defconfig
configs/ga10h_v1_1_defconfig
configs/galileo_defconfig
configs/gdppc440etx_defconfig
configs/glacier_defconfig
configs/glacier_ramboot_defconfig
configs/goflexhome_defconfig
configs/gose_defconfig
configs/gplugd_defconfig
configs/gt90h_v4_defconfig
configs/guruplug_defconfig
configs/haleakala_defconfig
configs/harmony_defconfig
configs/hrcon_defconfig
configs/hrcon_dh_defconfig
configs/i12-tvbox_defconfig
configs/iNet_3F_defconfig
configs/iNet_3W_defconfig
configs/iNet_86VS_defconfig
configs/ib62x0_defconfig
configs/icon_defconfig
configs/iconnect_defconfig
configs/ids8313_defconfig
configs/igep0020_defconfig
configs/igep0020_nand_defconfig
configs/igep0030_defconfig
configs/igep0030_nand_defconfig
configs/igep0032_defconfig
configs/inet1_defconfig
configs/inet97fv2_defconfig
configs/inet98v_rev2_defconfig
configs/inet9f_rev03_defconfig
configs/inetspace_v2_defconfig
configs/intip_defconfig
configs/io64_defconfig
configs/io_defconfig
configs/iocon_defconfig
configs/ip04_defconfig
configs/ipam390_defconfig
configs/jesurun_q5_defconfig
configs/jetson-tk1_defconfig
configs/k2e_evm_defconfig
configs/k2g_evm_defconfig
configs/k2hk_evm_defconfig
configs/k2l_evm_defconfig
configs/katmai_defconfig
configs/kilauea_defconfig
configs/km_kirkwood_128m16_defconfig
configs/km_kirkwood_defconfig
configs/km_kirkwood_pci_defconfig
configs/kmcoge4_defconfig
configs/kmcoge5ne_defconfig
configs/kmcoge5un_defconfig
configs/kmeter1_defconfig
configs/kmlion1_defconfig
configs/kmnusa_defconfig
configs/kmopti2_defconfig
configs/kmsugp1_defconfig
configs/kmsupx5_defconfig
configs/kmsuv31_defconfig
configs/kmtegr1_defconfig
configs/kmtepr2_defconfig
configs/kmvect1_defconfig
configs/koelsch_defconfig
configs/kwb_defconfig
configs/lager_defconfig
configs/ls1021aqds_ddr4_nor_defconfig
configs/ls1021aqds_nand_defconfig
configs/ls1021aqds_nor_SECURE_BOOT_defconfig
configs/ls1021aqds_nor_defconfig
configs/ls1021aqds_qspi_defconfig
configs/ls1021aqds_sdcard_defconfig
configs/ls1021atwr_nor_SECURE_BOOT_defconfig
configs/ls1021atwr_nor_defconfig
configs/ls1021atwr_qspi_defconfig
configs/ls1021atwr_sdcard_ifc_defconfig
configs/ls1021atwr_sdcard_qspi_defconfig
configs/ls1043ardb_defconfig
configs/ls1043ardb_nand_defconfig
configs/ls1043ardb_sdcard_defconfig
configs/ls2085a_emu_defconfig
configs/ls2085a_simu_defconfig
configs/ls2085aqds_defconfig
configs/ls2085aqds_nand_defconfig
configs/ls2085ardb_defconfig
configs/ls2085ardb_nand_defconfig
configs/lschlv2_defconfig
configs/lsxhl_defconfig
configs/luan_defconfig
configs/lwmon5_defconfig
configs/m28evk_defconfig
configs/makalu_defconfig
configs/malta_defconfig
configs/maltael_defconfig
configs/marsboard_defconfig
configs/maxbcm_defconfig
configs/mcx_defconfig
configs/medcom-wide_defconfig
configs/mgcoge3un_defconfig
configs/minnowmax_defconfig
configs/mixtile_loftq_defconfig
configs/mk802_a10s_defconfig
configs/mk802_defconfig
configs/mk802ii_defconfig
configs/mpc8308_p1m_defconfig
configs/mt_ventoux_defconfig
configs/mx28evk_auart_console_defconfig
configs/mx28evk_defconfig
configs/mx28evk_nand_defconfig
configs/mx28evk_spi_defconfig
configs/mx6dlsabreauto_defconfig
configs/mx6dlsabresd_defconfig
configs/mx6qpsabreauto_defconfig
configs/mx6qsabreauto_defconfig
configs/mx6qsabrelite_defconfig
configs/mx6qsabresd_defconfig
configs/mx6sabresd_spl_defconfig
configs/mx6slevk_defconfig
configs/mx6slevk_spinor_defconfig
configs/mx6slevk_spl_defconfig
configs/nas220_defconfig
configs/neo_defconfig
configs/net2big_v2_defconfig
configs/netspace_lite_v2_defconfig
configs/netspace_max_v2_defconfig
configs/netspace_mini_v2_defconfig
configs/netspace_v2_defconfig
configs/nitrogen6dl2g_defconfig
configs/nitrogen6dl_defconfig
configs/nitrogen6q2g_defconfig
configs/nitrogen6q_defconfig
configs/nitrogen6s1g_defconfig
configs/nitrogen6s_defconfig
configs/nokia_rx51_defconfig
configs/nsa310s_defconfig [new file with mode: 0644]
configs/nyan-big_defconfig
configs/omap3_beagle_defconfig
configs/omap3_evm_defconfig
configs/omap3_evm_quick_mmc_defconfig
configs/omap3_evm_quick_nand_defconfig
configs/omap3_ha_defconfig
configs/omap3_logic_defconfig
configs/omap3_overo_defconfig
configs/omap3_pandora_defconfig
configs/omap3_zoom1_defconfig
configs/omap4_panda_defconfig
configs/omap4_sdp4430_defconfig
configs/omap5_uevm_defconfig
configs/omapl138_lcdk_defconfig
configs/openrd_base_defconfig
configs/openrd_client_defconfig
configs/openrd_ultimate_defconfig
configs/openrisc-generic_defconfig
configs/orangepi_pc_defconfig [new file with mode: 0644]
configs/orangepi_plus_defconfig [new file with mode: 0644]
configs/ot1200_defconfig
configs/ot1200_spl_defconfig
configs/p2371-0000_defconfig
configs/p2371-2180_defconfig
configs/p2571_defconfig
configs/paz00_defconfig
configs/pcm051_rev1_defconfig
configs/pcm051_rev3_defconfig
configs/peach-pi_defconfig
configs/peach-pit_defconfig
configs/pengwyn_defconfig
configs/pepper_defconfig
configs/plutux_defconfig
configs/pogo_e02_defconfig
configs/porter_defconfig
configs/portl2_defconfig
configs/pov_protab2_ips9_defconfig
configs/pr1_defconfig
configs/pxm2_defconfig
configs/q8_a13_tablet_defconfig
configs/q8_a23_tablet_800x480_defconfig
configs/q8_a33_tablet_1024x600_defconfig
configs/q8_a33_tablet_800x480_defconfig
configs/qemu-ppce500_defconfig
configs/qemu-x86_defconfig
configs/qemu_mips64_defconfig
configs/qemu_mips64el_defconfig
configs/qemu_mips_defconfig
configs/qemu_mipsel_defconfig
configs/r7-tv-dongle_defconfig
configs/rainier_defconfig
configs/rainier_ramboot_defconfig
configs/rastaban_defconfig
configs/redwood_defconfig
configs/riotboard_defconfig
configs/rut_defconfig
configs/sama5d3xek_mmc_defconfig
configs/sama5d3xek_nandflash_defconfig
configs/sama5d3xek_spiflash_defconfig
configs/sama5d4_xplained_mmc_defconfig
configs/sama5d4_xplained_nandflash_defconfig
configs/sama5d4_xplained_spiflash_defconfig
configs/sama5d4ek_mmc_defconfig
configs/sama5d4ek_nandflash_defconfig
configs/sama5d4ek_spiflash_defconfig
configs/sandbox_defconfig
configs/sbc8349_PCI_33_defconfig
configs/sbc8349_PCI_66_defconfig
configs/sbc8349_defconfig
configs/sbc8548_PCI_33_PCIE_defconfig
configs/sbc8548_PCI_33_defconfig
configs/sbc8548_PCI_66_PCIE_defconfig
configs/sbc8548_PCI_66_defconfig
configs/sbc8548_defconfig
configs/sbc8641d_defconfig
configs/seaboard_defconfig
configs/sequoia_defconfig
configs/sequoia_ramboot_defconfig
configs/sh7752evb_defconfig
configs/sh7753evb_defconfig
configs/sh7757lcr_defconfig
configs/sheevaplug_defconfig
configs/silk_defconfig
configs/smdk5250_defconfig
configs/smdk5420_defconfig
configs/sniper_defconfig
configs/snow_defconfig
configs/socfpga_arria5_defconfig
configs/socfpga_cyclone5_defconfig
configs/socfpga_de0_nano_soc_defconfig
configs/socfpga_mcvevk_defconfig
configs/socfpga_sockit_defconfig
configs/socfpga_socrates_defconfig
configs/socrates_defconfig
configs/spring_defconfig
configs/stout_defconfig
configs/strider_con_defconfig
configs/strider_cpu_defconfig
configs/stv0991_defconfig
configs/sunxi_Gemei_G9_defconfig
configs/suvd3_defconfig
configs/sycamore_defconfig
configs/t3corp_defconfig
configs/tao3530_defconfig
configs/taurus_defconfig
configs/tb100_defconfig
configs/tec-ng_defconfig
configs/tec_defconfig
configs/thuban_defconfig
configs/ti814x_evm_defconfig
configs/ti816x_evm_defconfig
configs/tqma6q_mba6_mmc_defconfig
configs/tqma6q_mba6_spi_defconfig
configs/tqma6s_mba6_mmc_defconfig
configs/tqma6s_mba6_spi_defconfig
configs/tricorder_defconfig
configs/tricorder_flash_defconfig
configs/trimslice_defconfig
configs/tseries_mmc_defconfig
configs/tseries_nand_defconfig
configs/tseries_spi_defconfig
configs/tuge1_defconfig
configs/tuxx1_defconfig
configs/twister_defconfig
configs/vct_platinum_defconfig
configs/vct_platinum_onenand_defconfig
configs/vct_platinum_onenand_small_defconfig
configs/vct_platinum_small_defconfig
configs/vct_platinumavc_defconfig
configs/vct_platinumavc_onenand_defconfig
configs/vct_platinumavc_onenand_small_defconfig
configs/vct_platinumavc_small_defconfig
configs/vct_premium_defconfig
configs/vct_premium_onenand_defconfig
configs/vct_premium_onenand_small_defconfig
configs/vct_premium_small_defconfig
configs/ve8313_defconfig
configs/venice2_defconfig
configs/ventana_defconfig
configs/vexpress_aemv8a_dram_defconfig
configs/vexpress_aemv8a_semi_defconfig
configs/vme8349_defconfig
configs/walnut_defconfig
configs/whistler_defconfig
configs/work_92105_defconfig
configs/x600_defconfig
configs/xpedite1000_defconfig
configs/xpedite517x_defconfig
configs/xpedite520x_defconfig
configs/xpedite537x_defconfig
configs/xpedite550x_defconfig
configs/yellowstone_defconfig
configs/yosemite_defconfig
configs/yucca_defconfig
configs/zynq_microzed_defconfig
configs/zynq_zc702_defconfig
configs/zynq_zc706_defconfig
configs/zynq_zc770_xm010_defconfig
configs/zynq_zed_defconfig
disk/part_efi.c
doc/README.gpt
doc/device-tree-bindings/input/i8042.txt [new file with mode: 0644]
doc/device-tree-bindings/timer/sandbox_timer.txt [new file with mode: 0644]
doc/driver-model/serial-howto.txt [new file with mode: 0644]
drivers/block/fsl_sata.c
drivers/core/device-remove.c
drivers/core/root.c
drivers/fpga/ACEX1K.c
drivers/fpga/virtex2.c
drivers/fpga/zynqpl.c
drivers/i2c/Makefile
drivers/i2c/adi_i2c.c
drivers/input/Kconfig
drivers/input/Makefile
drivers/input/cros_ec_keyb.c
drivers/input/i8042.c
drivers/input/input.c
drivers/input/keyboard-uclass.c [new file with mode: 0644]
drivers/input/keyboard.c
drivers/input/tegra-kbc.c
drivers/misc/cbmem_console.c
drivers/misc/cros_ec.c
drivers/misc/cros_ec_sandbox.c
drivers/mmc/zynq_sdhci.c
drivers/mtd/cfi_flash.c
drivers/mtd/nand/bfin_nand.c
drivers/mtd/spi/Makefile
drivers/mtd/spi/eeprom_m95xxx.c [deleted file]
drivers/mtd/spi/sf-uclass.c
drivers/mtd/spi/sf_internal.h
drivers/mtd/spi/sf_ops.c
drivers/mtd/spi/sf_probe.c
drivers/net/e1000_spi.c
drivers/net/keystone_net.c
drivers/net/phy/Makefile
drivers/net/phy/phy.c
drivers/net/phy/ti.c [new file with mode: 0644]
drivers/net/vsc7385.c
drivers/net/zynq_gem.c
drivers/pci/pci_common.c
drivers/power/Kconfig
drivers/power/battery/bat_trats.c
drivers/serial/Kconfig
drivers/serial/Makefile
drivers/serial/ns16550.c
drivers/serial/serial_dw.c [deleted file]
drivers/serial/serial_keystone.c [deleted file]
drivers/serial/serial_omap.c [deleted file]
drivers/serial/serial_ppc.c [deleted file]
drivers/serial/serial_rockchip.c [deleted file]
drivers/serial/serial_tegra.c [deleted file]
drivers/serial/serial_x86.c [deleted file]
drivers/spi/bfin_spi.c
drivers/spi/bfin_spi6xx.c
drivers/spi/sh_qspi.c
drivers/spi/sh_spi.c
drivers/spi/spi-uclass.c
drivers/spi/ti_qspi.c
drivers/timer/Kconfig
drivers/timer/Makefile
drivers/timer/sandbox_timer.c [new file with mode: 0644]
drivers/usb/emul/Makefile
drivers/usb/emul/sandbox_flash.c
drivers/usb/emul/sandbox_hub.c
drivers/usb/emul/sandbox_keyb.c [new file with mode: 0644]
drivers/usb/emul/usb-emul-uclass.c
drivers/usb/gadget/ether.c
drivers/usb/gadget/f_mass_storage.c
drivers/usb/gadget/f_thor.c
drivers/usb/host/r8a66597-hcd.c
drivers/usb/host/usb-sandbox.c
drivers/usb/host/usb-uclass.c
drivers/usb/musb-new/musb_uboot.c
drivers/usb/musb-new/sunxi.c
drivers/video/cfb_console.c
examples/api/libgenwrap.c
examples/standalone/mem_to_mem_idma2intr.c
examples/standalone/smc911x_eeprom.c
fs/ext4/ext4_common.c
include/asm-generic/global_data.h
include/common.h
include/configs/10m50_devboard.h
include/configs/B4860QDS.h
include/configs/BSC9131RDB.h
include/configs/BSC9132QDS.h
include/configs/C29XPCIE.h
include/configs/CPCI2DP.h
include/configs/CPCI4052.h
include/configs/M52277EVB.h
include/configs/M54418TWR.h
include/configs/M54451EVB.h
include/configs/M54455EVB.h
include/configs/MIP405.h
include/configs/MPC8308RDB.h
include/configs/MPC8313ERDB.h
include/configs/MPC8315ERDB.h
include/configs/MPC8323ERDB.h
include/configs/MPC832XEMDS.h
include/configs/MPC8349EMDS.h
include/configs/MPC8349ITX.h
include/configs/MPC837XEMDS.h
include/configs/MPC837XERDB.h
include/configs/MPC8536DS.h
include/configs/MPC8540ADS.h
include/configs/MPC8541CDS.h
include/configs/MPC8544DS.h
include/configs/MPC8548CDS.h
include/configs/MPC8555CDS.h
include/configs/MPC8568MDS.h
include/configs/MPC8569MDS.h
include/configs/MPC8572DS.h
include/configs/MPC8610HPCD.h
include/configs/MPC8641HPCN.h
include/configs/P1010RDB.h
include/configs/P1022DS.h
include/configs/P1023RDB.h
include/configs/P2041RDB.h
include/configs/PIP405.h
include/configs/PLU405.h
include/configs/PMC405DE.h
include/configs/PMC440.h
include/configs/T102xQDS.h
include/configs/T102xRDB.h
include/configs/T1040QDS.h
include/configs/T104xRDB.h
include/configs/T208xQDS.h
include/configs/T208xRDB.h
include/configs/T4240QDS.h
include/configs/T4240RDB.h
include/configs/TQM834x.h
include/configs/UCP1020.h
include/configs/VOM405.h
include/configs/acadia.h
include/configs/adp-ag101p.h
include/configs/alt.h
include/configs/am335x_evm.h
include/configs/am3517_crane.h
include/configs/am3517_evm.h
include/configs/am43xx_evm.h
include/configs/amcc-common.h
include/configs/aristainetos-common.h
include/configs/at91sam9n12ek.h
include/configs/at91sam9x5ek.h
include/configs/atngw100.h
include/configs/atngw100mkii.h
include/configs/axs101.h
include/configs/bamboo.h
include/configs/bav335x.h
include/configs/bayleybay.h
include/configs/bcm28155_ap.h
include/configs/bcm_ep_board.h
include/configs/beaver.h
include/configs/bf506f-ezkit.h
include/configs/bf518f-ezbrd.h
include/configs/bf525-ucr2.h
include/configs/bf526-ezbrd.h
include/configs/bf527-ad7160-eval.h
include/configs/bf527-ezkit.h
include/configs/bf537-minotaur.h
include/configs/bf537-pnav.h
include/configs/bf537-srv1.h
include/configs/bf548-ezkit.h
include/configs/bf561-acvilon.h
include/configs/bfin_adi_common.h
include/configs/bg0900.h
include/configs/blackstamp.h
include/configs/blackvme.h
include/configs/br4.h
include/configs/bur_am335x_common.h
include/configs/calimain.h
include/configs/canyonlands.h
include/configs/cardhu.h
include/configs/chromebox_panther.h
include/configs/cm_t35.h
include/configs/cm_t3517.h
include/configs/cm_t43.h
include/configs/colibri_vf.h
include/configs/controlcenterd.h
include/configs/corenet_ds.h
include/configs/crownbay.h
include/configs/cyrus.h
include/configs/da850evm.h
include/configs/dalmore.h
include/configs/db-88f6820-gp.h
include/configs/db-mv784mp-gp.h
include/configs/dra7xx_evm.h
include/configs/dreamplug.h
include/configs/e2220-1170.h
include/configs/ea20.h
include/configs/edminiv2.h
include/configs/efi-x86.h
include/configs/embestmx6boards.h
include/configs/ethernut5.h
include/configs/exynos5-common.h
include/configs/gdppc440etx.h
include/configs/gose.h
include/configs/gplugd.h
include/configs/gw_ventana.h
include/configs/hrcon.h
include/configs/icon.h
include/configs/ids8313.h
include/configs/intip.h
include/configs/ip04.h
include/configs/ipam390.h
include/configs/jetson-tk1.h
include/configs/katmai.h
include/configs/km/km-powerpc.h
include/configs/km/km83xx-common.h
include/configs/km/km_arm.h
include/configs/km/kmp204x-common.h
include/configs/koelsch.h
include/configs/lager.h
include/configs/ls1021aqds.h
include/configs/ls1021atwr.h
include/configs/ls1043a_common.h
include/configs/ls2085a_common.h
include/configs/ls2085aqds.h
include/configs/ls2085ardb.h
include/configs/lsxl.h
include/configs/luan.h
include/configs/lwmon5.h
include/configs/m28evk.h
include/configs/malta.h
include/configs/maxbcm.h
include/configs/mcx.h
include/configs/microblaze-generic.h
include/configs/minnowmax.h
include/configs/motionpro.h
include/configs/mpc8308_p1m.h
include/configs/mv-common.h
include/configs/mx28evk.h
include/configs/mx6sabre_common.h
include/configs/mx6slevk.h
include/configs/mx6sxsabresd.h
include/configs/mx6ul_14x14_evk.h
include/configs/neo.h
include/configs/nitrogen6x.h
include/configs/nokia_rx51.h
include/configs/nsa310s.h [new file with mode: 0644]
include/configs/nyan-big.h
include/configs/omap3_evm_common.h
include/configs/omap3_pandora.h
include/configs/omapl138_lcdk.h
include/configs/openrisc-generic.h
include/configs/ot1200.h
include/configs/p1_p2_rdb_pc.h
include/configs/p1_twr.h
include/configs/p2371-0000.h
include/configs/p2371-2180.h
include/configs/p2571.h
include/configs/pcm051.h
include/configs/pcm052.h
include/configs/pengwyn.h
include/configs/porter.h
include/configs/pr1.h
include/configs/qemu-mips.h
include/configs/qemu-mips64.h
include/configs/qemu-ppce500.h
include/configs/qemu-x86.h
include/configs/rk3288_common.h
include/configs/sama5d3xek.h
include/configs/sama5d4_xplained.h
include/configs/sama5d4ek.h
include/configs/sandbox.h
include/configs/sbc8349.h
include/configs/sbc8548.h
include/configs/sbc8641d.h
include/configs/sequoia.h
include/configs/sh7752evb.h
include/configs/sh7753evb.h
include/configs/sh7757lcr.h
include/configs/siemens-am33x-common.h
include/configs/silk.h
include/configs/sniper.h
include/configs/socfpga_common.h
include/configs/socrates.h
include/configs/stout.h
include/configs/strider.h
include/configs/stv0991.h
include/configs/sun8i.h
include/configs/sunxi-common.h
include/configs/t3corp.h
include/configs/t4qds.h
include/configs/tam3517-common.h
include/configs/tao3530.h
include/configs/taurus.h
include/configs/tb100.h
include/configs/tec-ng.h
include/configs/tegra-common.h
include/configs/ti814x_evm.h
include/configs/ti816x_evm.h
include/configs/ti_am335x_common.h
include/configs/ti_armv7_keystone2.h
include/configs/ti_omap3_common.h
include/configs/ti_omap4_common.h
include/configs/ti_omap5_common.h
include/configs/tqma6.h
include/configs/tricorder.h
include/configs/trimslice.h
include/configs/tseries.h
include/configs/uniphier.h
include/configs/vct.h
include/configs/ve8313.h
include/configs/venice2.h
include/configs/vexpress_aemv8a.h
include/configs/vf610twr.h
include/configs/vme8349.h
include/configs/walnut.h
include/configs/x86-chromebook.h
include/configs/x86-common.h
include/configs/xilinx-ppc.h
include/configs/xilinx_zynqmp.h
include/configs/xilinx_zynqmp_ep.h
include/configs/xpedite1000.h
include/configs/xpedite517x.h
include/configs/xpedite520x.h
include/configs/xpedite537x.h
include/configs/xpedite550x.h
include/configs/yosemite.h
include/configs/zynq-common.h
include/configs/zynq_zc770.h
include/console.h [new file with mode: 0644]
include/cros_ec.h
include/dm/device-internal.h
include/dm/device.h
include/dm/uclass-id.h
include/dm/uclass.h
include/fdtdec.h
include/i2c.h
include/i8042.h
include/image.h
include/input.h
include/keyboard.h
include/linux/usb/ch9.h
include/membuff.h [new file with mode: 0644]
include/part.h
include/phy.h
include/usb.h
lib/Kconfig
lib/Makefile
lib/display_options.c
lib/fdtdec.c
lib/gunzip.c
lib/membuff.c [new file with mode: 0644]
lib/tiny-printf.c [new file with mode: 0644]
lib/vsprintf.c
net/net.c
scripts/Makefile.spl
test/dm/Makefile
test/dm/test-main.c
test/dm/timer.c [new file with mode: 0644]
test/dm/usb.c
test/ut.c
tools/Makefile
tools/kwbimage.c
tools/kwbimage.h
tools/zynqimage.c [new file with mode: 0644]

index 5d824aea92198885543b8605d966482d863ca9cd..9447aa73478e873f2c91599d136222551467fd83 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -1335,6 +1335,9 @@ spl/sunxi-spl.bin: spl/u-boot-spl
 spl/u-boot-spl-dtb.sfp: spl/u-boot-spl
        @:
 
+spl/boot.bin: spl/u-boot-spl
+       @:
+
 tpl/u-boot-tpl.bin: tools prepare
        $(Q)$(MAKE) obj=tpl -f $(srctree)/scripts/Makefile.spl all
 
diff --git a/README b/README
index 32c5ea66531302af1d311d5fbc94e98abdfa17ee..b5f6471670c3b256d583b00a0877f70a12976b11 100644 (file)
--- a/README
+++ b/README
@@ -867,18 +867,11 @@ The following options need to be configured:
                                                (0-5, cf. cfb_console.c)
                        VIDEO_FB_ADRS           framebuffer address
                        VIDEO_KBD_INIT_FCT      keyboard int fct
-                                               (i.e. i8042_kbd_init())
+                                               (i.e. rx51_kp_init())
                        VIDEO_TSTC_FCT          test char fct
-                                               (i.e. i8042_tstc)
+                                               (i.e. rx51_kp_tstc)
                        VIDEO_GETC_FCT          get char fct
-                                               (i.e. i8042_getc)
-                       CONFIG_CONSOLE_CURSOR   cursor drawing on/off
-                                               (requires blink timer
-                                               cf. i8042.c)
-                       CONFIG_SYS_CONSOLE_BLINK_COUNT blink interval (cf. i8042.c)
-                       CONFIG_CONSOLE_TIME     display time/date info in
-                                               upper right corner
-                                               (requires CONFIG_CMD_DATE)
+                                               (i.e. rx51_kp_getc)
                        CONFIG_VIDEO_LOGO       display Linux logo in
                                                upper left corner
                        CONFIG_VIDEO_BMP_LOGO   use bmp_logo.h instead of
@@ -1774,21 +1767,15 @@ CBFS (Coreboot Filesystem) support
                a default value of 65536 will be defined.
 
 - Keyboard Support:
-               CONFIG_ISA_KEYBOARD
+               See Kconfig help for available keyboard drivers.
 
-               Define this to enable standard (PC-Style) keyboard
-               support
-
-               CONFIG_I8042_KBD
-               Standard PC keyboard driver with US (is default) and
-               GERMAN key layout (switch via environment 'keymap=de') support.
-               Export function i8042_kbd_init, i8042_tstc and i8042_getc
-               for cfb_console. Supports cursor blinking.
+               CONFIG_KEYBOARD
 
-               CONFIG_CROS_EC_KEYB
-               Enables a Chrome OS keyboard using the CROS_EC interface.
-               This uses CROS_EC to communicate with a second microcontroller
-               which provides key scans on request.
+               Define this to enable a custom keyboard support.
+               This simply calls drv_keyboard_init() which must be
+               defined in your board-specific files. This option is deprecated
+               and is only used by novena. For new boards, use driver model
+               instead.
 
 - Video support:
                CONFIG_VIDEO
@@ -1849,15 +1836,6 @@ CBFS (Coreboot Filesystem) support
                boot.  See the documentation file README.video for a
                description of this variable.
 
-
-- Keyboard Support:
-               CONFIG_KEYBOARD
-
-               Define this to enable a custom keyboard support.
-               This simply calls drv_keyboard_init() which must be
-               defined in your board-specific files.
-               The only board using this so far is RBC823.
-
 - LCD Support: CONFIG_LCD
 
                Define this to enable LCD support (for output to LCD
@@ -2714,11 +2692,6 @@ CBFS (Coreboot Filesystem) support
                Enables the driver for SPI controller on SuperH. Currently
                only SH7757 is supported.
 
-               CONFIG_SPI_X
-
-               Enables extended (16-bit) SPI EEPROM addressing.
-               (symmetrical to CONFIG_I2C_X)
-
                CONFIG_SOFT_SPI
 
                Enables a software (bit-bang) SPI driver rather than
index 5ab0254f3bbeddca640929637db3143d0bee840b..6542c38304a57c93a421028bb254ec79a7b364c4 100644 (file)
@@ -419,6 +419,7 @@ config ARCH_EXYNOS
        select DM_SERIAL
        select DM_SPI
        select DM_GPIO
+       select DM_KEYBOARD
 
 config ARCH_S5PC1XX
        bool "Samsung S5PC1XX"
index bd14326cf4799aa99f8fab4a96c199c7ff46bb83..1633ddc6b0963b11ae6ceb758f3d85d27f814e44 100644 (file)
@@ -273,12 +273,6 @@ void s_init(void)
        set_uart_mux_conf();
        setup_clocks_for_console();
        uart_soft_reset();
-#if defined(CONFIG_NOR_BOOT) || defined(CONFIG_QSPI_BOOT)
-       /* TODO: This does not work, gd is not available yet */
-       gd->baudrate = CONFIG_BAUDRATE;
-       serial_init();
-       gd->have_console = 1;
-#endif
 #if defined(CONFIG_SPL_AM33XX_ENABLE_RTC32K_OSC)
        /* Enable RTC32K clock */
        rtc32k_enable();
index 459d5d8b0c7caacb6b6ae6a548813010cddbfe3f..33c76ef59d39a31a0efdbfea1b3e2d265ec9a74f 100644 (file)
@@ -49,5 +49,6 @@ obj-$(CONFIG_MACH_SUN6I)      += dram_sun6i.o
 obj-$(CONFIG_MACH_SUN7I)       += dram_sun4i.o
 obj-$(CONFIG_MACH_SUN8I_A23)   += dram_sun8i_a23.o
 obj-$(CONFIG_MACH_SUN8I_A33)   += dram_sun8i_a33.o
+obj-$(CONFIG_MACH_SUN8I_H3)    += dram_sun8i_h3.o
 obj-y  += fel_utils.o
 endif
index 794b829e1c976a51b252f756322d0fde43feb5be..0f26cb00f2b108593f914d0b5c41cc6f2c8e8a15 100644 (file)
@@ -72,6 +72,10 @@ static int gpio_init(void)
        sunxi_gpio_set_cfgpin(SUNXI_GPB(0), SUN8I_A33_GPB_UART0);
        sunxi_gpio_set_cfgpin(SUNXI_GPB(1), SUN8I_A33_GPB_UART0);
        sunxi_gpio_set_pull(SUNXI_GPB(1), SUNXI_GPIO_PULL_UP);
+#elif CONFIG_CONS_INDEX == 1 && defined(CONFIG_MACH_SUN8I_H3)
+       sunxi_gpio_set_cfgpin(SUNXI_GPA(4), SUN8I_H3_GPA_UART0);
+       sunxi_gpio_set_cfgpin(SUNXI_GPA(5), SUN8I_H3_GPA_UART0);
+       sunxi_gpio_set_pull(SUNXI_GPA(5), SUNXI_GPIO_PULL_UP);
 #elif CONFIG_CONS_INDEX == 1 && defined(CONFIG_MACH_SUN9I)
        sunxi_gpio_set_cfgpin(SUNXI_GPH(12), SUN9I_GPH_UART0);
        sunxi_gpio_set_cfgpin(SUNXI_GPH(13), SUN9I_GPH_UART0);
index 3ab3b31867807ced4d593bffdb7021211e9e7bf5..916ee48e4bb190241a83b5988af9670e8b31e460 100644 (file)
@@ -34,9 +34,11 @@ void clock_init_safe(void)
 
        clock_set_pll1(408000000);
 
-       writel(AHB1_ABP1_DIV_DEFAULT, &ccm->ahb1_apb1_div);
-
        writel(PLL6_CFG_DEFAULT, &ccm->pll6_cfg);
+       while (!(readl(&ccm->pll6_cfg) & CCM_PLL6_CTRL_LOCK))
+               ;
+
+       writel(AHB1_ABP1_DIV_DEFAULT, &ccm->ahb1_apb1_div);
 
        writel(MBUS_CLK_DEFAULT, &ccm->mbus0_clk_cfg);
        writel(MBUS_CLK_DEFAULT, &ccm->mbus1_clk_cfg);
index 05fef3216dce5b71ac2befd77dd89404ec9dfe79..1e73332d7e649f9fcf7e8c36154b04bb98511dc4 100644 (file)
@@ -69,6 +69,8 @@ int print_cpuinfo(void)
        puts("CPU:   Allwinner A23 (SUN8I)\n");
 #elif defined CONFIG_MACH_SUN8I_A33
        puts("CPU:   Allwinner A33 (SUN8I)\n");
+#elif defined CONFIG_MACH_SUN8I_H3
+       puts("CPU:   Allwinner H3 (SUN8I)\n");
 #elif defined CONFIG_MACH_SUN9I
        puts("CPU:   Allwinner A80 (SUN9I)\n");
 #else
diff --git a/arch/arm/cpu/armv7/sunxi/dram_sun8i_h3.c b/arch/arm/cpu/armv7/sunxi/dram_sun8i_h3.c
new file mode 100644 (file)
index 0000000..b721d60
--- /dev/null
@@ -0,0 +1,469 @@
+/*
+ * sun8i H3 platform dram controller init
+ *
+ * (C) Copyright 2007-2015 Allwinner Technology Co.
+ *                         Jerry Wang <wangflord@allwinnertech.com>
+ * (C) Copyright 2015      Vishnu Patekar <vishnupatekar0510@gmail.com>
+ * (C) Copyright 2015      Hans de Goede <hdegoede@redhat.com>
+ * (C) Copyright 2015      Jens Kuske <jenskuske@gmail.com>
+ *
+ * SPDX-License-Identifier:    GPL-2.0+
+ */
+#include <common.h>
+#include <asm/io.h>
+#include <asm/arch/clock.h>
+#include <asm/arch/dram.h>
+#include <linux/kconfig.h>
+
+struct dram_para {
+       u32 read_delays;
+       u32 write_delays;
+       u16 page_size;
+       u8 bus_width;
+       u8 dual_rank;
+       u8 row_bits;
+};
+
+static inline int ns_to_t(int nanoseconds)
+{
+       const unsigned int ctrl_freq = CONFIG_DRAM_CLK / 2;
+
+       return DIV_ROUND_UP(ctrl_freq * nanoseconds, 1000);
+}
+
+static u32 bin_to_mgray(int val)
+{
+       static const u8 lookup_table[32] = {
+               0x00, 0x01, 0x02, 0x03, 0x06, 0x07, 0x04, 0x05,
+               0x0c, 0x0d, 0x0e, 0x0f, 0x0a, 0x0b, 0x08, 0x09,
+               0x18, 0x19, 0x1a, 0x1b, 0x1e, 0x1f, 0x1c, 0x1d,
+               0x14, 0x15, 0x16, 0x17, 0x12, 0x13, 0x10, 0x11,
+       };
+
+       return lookup_table[clamp(val, 0, 31)];
+}
+
+static int mgray_to_bin(u32 val)
+{
+       static const u8 lookup_table[32] = {
+               0x00, 0x01, 0x02, 0x03, 0x06, 0x07, 0x04, 0x05,
+               0x0e, 0x0f, 0x0c, 0x0d, 0x08, 0x09, 0x0a, 0x0b,
+               0x1e, 0x1f, 0x1c, 0x1d, 0x18, 0x19, 0x1a, 0x1b,
+               0x10, 0x11, 0x12, 0x13, 0x16, 0x17, 0x14, 0x15,
+       };
+
+       return lookup_table[val & 0x1f];
+}
+
+static void mctl_phy_init(u32 val)
+{
+       struct sunxi_mctl_ctl_reg * const mctl_ctl =
+                       (struct sunxi_mctl_ctl_reg *)SUNXI_DRAM_CTL0_BASE;
+
+       writel(val | PIR_INIT, &mctl_ctl->pir);
+       mctl_await_completion(&mctl_ctl->pgsr[0], PGSR_INIT_DONE, 0x1);
+}
+
+static void mctl_dq_delay(u32 read, u32 write)
+{
+       struct sunxi_mctl_ctl_reg * const mctl_ctl =
+                       (struct sunxi_mctl_ctl_reg *)SUNXI_DRAM_CTL0_BASE;
+       int i, j;
+       u32 val;
+
+       for (i = 0; i < 4; i++) {
+               val = DATX_IOCR_WRITE_DELAY((write >> (i * 4)) & 0xf) |
+                     DATX_IOCR_READ_DELAY((read >> (i * 4)) & 0xf);
+
+               for (j = DATX_IOCR_DQ(0); j <= DATX_IOCR_DM; j++)
+                       setbits_le32(&mctl_ctl->datx[i].iocr[j], val);
+       }
+
+       clrbits_le32(&mctl_ctl->pgcr[0], 1 << 26);
+
+       for (i = 0; i < 4; i++) {
+               val = DATX_IOCR_WRITE_DELAY((write >> (16 + i * 4)) & 0xf) |
+                     DATX_IOCR_READ_DELAY((read >> (16 + i * 4)) & 0xf);
+
+               setbits_le32(&mctl_ctl->datx[i].iocr[DATX_IOCR_DQS], val);
+               setbits_le32(&mctl_ctl->datx[i].iocr[DATX_IOCR_DQSN], val);
+       }
+
+       setbits_le32(&mctl_ctl->pgcr[0], 1 << 26);
+
+       udelay(1);
+}
+
+static void mctl_set_master_priority(void)
+{
+       struct sunxi_mctl_com_reg * const mctl_com =
+                       (struct sunxi_mctl_com_reg *)SUNXI_DRAM_COM_BASE;
+
+       /* enable bandwidth limit windows and set windows size 1us */
+       writel(0x00010190, &mctl_com->bwcr);
+
+       /* set cpu high priority */
+       writel(0x00000001, &mctl_com->mapr);
+
+       writel(0x0200000d, &mctl_com->mcr[0][0]);
+       writel(0x00800100, &mctl_com->mcr[0][1]);
+       writel(0x06000009, &mctl_com->mcr[1][0]);
+       writel(0x01000400, &mctl_com->mcr[1][1]);
+       writel(0x0200000d, &mctl_com->mcr[2][0]);
+       writel(0x00600100, &mctl_com->mcr[2][1]);
+       writel(0x0100000d, &mctl_com->mcr[3][0]);
+       writel(0x00200080, &mctl_com->mcr[3][1]);
+       writel(0x07000009, &mctl_com->mcr[4][0]);
+       writel(0x01000640, &mctl_com->mcr[4][1]);
+       writel(0x0100000d, &mctl_com->mcr[5][0]);
+       writel(0x00200080, &mctl_com->mcr[5][1]);
+       writel(0x01000009, &mctl_com->mcr[6][0]);
+       writel(0x00400080, &mctl_com->mcr[6][1]);
+       writel(0x0100000d, &mctl_com->mcr[7][0]);
+       writel(0x00400080, &mctl_com->mcr[7][1]);
+       writel(0x0100000d, &mctl_com->mcr[8][0]);
+       writel(0x00400080, &mctl_com->mcr[8][1]);
+       writel(0x04000009, &mctl_com->mcr[9][0]);
+       writel(0x00400100, &mctl_com->mcr[9][1]);
+       writel(0x2000030d, &mctl_com->mcr[10][0]);
+       writel(0x04001800, &mctl_com->mcr[10][1]);
+       writel(0x04000009, &mctl_com->mcr[11][0]);
+       writel(0x00400120, &mctl_com->mcr[11][1]);
+}
+
+static void mctl_set_timing_params(struct dram_para *para)
+{
+       struct sunxi_mctl_ctl_reg * const mctl_ctl =
+                       (struct sunxi_mctl_ctl_reg *)SUNXI_DRAM_CTL0_BASE;
+
+       u8 tccd         = 2;
+       u8 tfaw         = ns_to_t(50);
+       u8 trrd         = max(ns_to_t(10), 4);
+       u8 trcd         = ns_to_t(15);
+       u8 trc          = ns_to_t(53);
+       u8 txp          = max(ns_to_t(8), 3);
+       u8 twtr         = max(ns_to_t(8), 4);
+       u8 trtp         = max(ns_to_t(8), 4);
+       u8 twr          = max(ns_to_t(15), 3);
+       u8 trp          = ns_to_t(15);
+       u8 tras         = ns_to_t(38);
+       u16 trefi       = ns_to_t(7800) / 32;
+       u16 trfc        = ns_to_t(350);
+
+       u8 tmrw         = 0;
+       u8 tmrd         = 4;
+       u8 tmod         = 12;
+       u8 tcke         = 3;
+       u8 tcksrx       = 5;
+       u8 tcksre       = 5;
+       u8 tckesr       = 4;
+       u8 trasmax      = 24;
+
+       u8 tcl          = 6; /* CL 12 */
+       u8 tcwl         = 4; /* CWL 8 */
+       u8 t_rdata_en   = 4;
+       u8 wr_latency   = 2;
+
+       u32 tdinit0     = (500 * CONFIG_DRAM_CLK) + 1;          /* 500us */
+       u32 tdinit1     = (360 * CONFIG_DRAM_CLK) / 1000 + 1;   /* 360ns */
+       u32 tdinit2     = (200 * CONFIG_DRAM_CLK) + 1;          /* 200us */
+       u32 tdinit3     = (1 * CONFIG_DRAM_CLK) + 1;            /* 1us */
+
+       u8 twtp         = tcwl + 2 + twr;       /* WL + BL / 2 + tWR */
+       u8 twr2rd       = tcwl + 2 + twtr;      /* WL + BL / 2 + tWTR */
+       u8 trd2wr       = tcl + 2 + 1 - tcwl;   /* RL + BL / 2 + 2 - WL */
+
+       /* set mode register */
+       writel(0x1c70, &mctl_ctl->mr[0]);       /* CL=11, WR=12 */
+       writel(0x40, &mctl_ctl->mr[1]);
+       writel(0x18, &mctl_ctl->mr[2]);         /* CWL=8 */
+       writel(0x0, &mctl_ctl->mr[3]);
+
+       /* set DRAM timing */
+       writel(DRAMTMG0_TWTP(twtp) | DRAMTMG0_TFAW(tfaw) |
+              DRAMTMG0_TRAS_MAX(trasmax) | DRAMTMG0_TRAS(tras),
+              &mctl_ctl->dramtmg[0]);
+       writel(DRAMTMG1_TXP(txp) | DRAMTMG1_TRTP(trtp) | DRAMTMG1_TRC(trc),
+              &mctl_ctl->dramtmg[1]);
+       writel(DRAMTMG2_TCWL(tcwl) | DRAMTMG2_TCL(tcl) |
+              DRAMTMG2_TRD2WR(trd2wr) | DRAMTMG2_TWR2RD(twr2rd),
+              &mctl_ctl->dramtmg[2]);
+       writel(DRAMTMG3_TMRW(tmrw) | DRAMTMG3_TMRD(tmrd) | DRAMTMG3_TMOD(tmod),
+              &mctl_ctl->dramtmg[3]);
+       writel(DRAMTMG4_TRCD(trcd) | DRAMTMG4_TCCD(tccd) | DRAMTMG4_TRRD(trrd) |
+              DRAMTMG4_TRP(trp), &mctl_ctl->dramtmg[4]);
+       writel(DRAMTMG5_TCKSRX(tcksrx) | DRAMTMG5_TCKSRE(tcksre) |
+              DRAMTMG5_TCKESR(tckesr) | DRAMTMG5_TCKE(tcke),
+              &mctl_ctl->dramtmg[5]);
+
+       /* set two rank timing */
+       clrsetbits_le32(&mctl_ctl->dramtmg[8], (0xff << 8) | (0xff << 0),
+                       (0x66 << 8) | (0x10 << 0));
+
+       /* set PHY interface timing, write latency and read latency configure */
+       writel((0x2 << 24) | (t_rdata_en << 16) | (0x1 << 8) |
+              (wr_latency << 0), &mctl_ctl->pitmg[0]);
+
+       /* set PHY timing, PTR0-2 use default */
+       writel(PTR3_TDINIT0(tdinit0) | PTR3_TDINIT1(tdinit1), &mctl_ctl->ptr[3]);
+       writel(PTR4_TDINIT2(tdinit2) | PTR4_TDINIT3(tdinit3), &mctl_ctl->ptr[4]);
+
+       /* set refresh timing */
+       writel(RFSHTMG_TREFI(trefi) | RFSHTMG_TRFC(trfc), &mctl_ctl->rfshtmg);
+}
+
+static void mctl_zq_calibration(struct dram_para *para)
+{
+       struct sunxi_mctl_ctl_reg * const mctl_ctl =
+                       (struct sunxi_mctl_ctl_reg *)SUNXI_DRAM_CTL0_BASE;
+
+       int i;
+       u16 zq_val[6];
+       u8 val;
+
+       writel(0x0a0a0a0a, &mctl_ctl->zqdr[2]);
+
+       for (i = 0; i < 6; i++) {
+               u8 zq = (CONFIG_DRAM_ZQ >> (i * 4)) & 0xf;
+
+               writel((zq << 20) | (zq << 16) | (zq << 12) |
+                               (zq << 8) | (zq << 4) | (zq << 0),
+                               &mctl_ctl->zqcr);
+
+               writel(PIR_CLRSR, &mctl_ctl->pir);
+               mctl_phy_init(PIR_ZCAL);
+
+               zq_val[i] = readl(&mctl_ctl->zqdr[0]) & 0xff;
+               writel(REPEAT_BYTE(zq_val[i]), &mctl_ctl->zqdr[2]);
+
+               writel(PIR_CLRSR, &mctl_ctl->pir);
+               mctl_phy_init(PIR_ZCAL);
+
+               val = readl(&mctl_ctl->zqdr[0]) >> 24;
+               zq_val[i] |= bin_to_mgray(mgray_to_bin(val) - 1) << 8;
+       }
+
+       writel((zq_val[1] << 16) | zq_val[0], &mctl_ctl->zqdr[0]);
+       writel((zq_val[3] << 16) | zq_val[2], &mctl_ctl->zqdr[1]);
+       writel((zq_val[5] << 16) | zq_val[4], &mctl_ctl->zqdr[2]);
+}
+
+static void mctl_set_cr(struct dram_para *para)
+{
+       struct sunxi_mctl_com_reg * const mctl_com =
+                       (struct sunxi_mctl_com_reg *)SUNXI_DRAM_COM_BASE;
+
+       writel(MCTL_CR_BL8 | MCTL_CR_2T | MCTL_CR_DDR3 | MCTL_CR_INTERLEAVED |
+              MCTL_CR_EIGHT_BANKS | MCTL_CR_BUS_WIDTH(para->bus_width) |
+              (para->dual_rank ? MCTL_CR_DUAL_RANK : MCTL_CR_SINGLE_RANK) |
+              MCTL_CR_PAGE_SIZE(para->page_size) |
+              MCTL_CR_ROW_BITS(para->row_bits), &mctl_com->cr);
+}
+
+static void mctl_sys_init(struct dram_para *para)
+{
+       struct sunxi_ccm_reg * const ccm =
+                       (struct sunxi_ccm_reg *)SUNXI_CCM_BASE;
+       struct sunxi_mctl_ctl_reg * const mctl_ctl =
+                       (struct sunxi_mctl_ctl_reg *)SUNXI_DRAM_CTL0_BASE;
+
+       clrbits_le32(&ccm->mbus0_clk_cfg, MBUS_CLK_GATE);
+       clrbits_le32(&ccm->mbus_reset, CCM_MBUS_RESET_RESET);
+       clrbits_le32(&ccm->ahb_gate0, 1 << AHB_GATE_OFFSET_MCTL);
+       clrbits_le32(&ccm->ahb_reset0_cfg, 1 << AHB_RESET_OFFSET_MCTL);
+       clrbits_le32(&ccm->pll5_cfg, CCM_PLL5_CTRL_EN);
+       udelay(10);
+
+       clrbits_le32(&ccm->dram_clk_cfg, CCM_DRAMCLK_CFG_RST);
+       udelay(1000);
+
+       clock_set_pll5(CONFIG_DRAM_CLK * 2 * 1000000, false);
+       clrsetbits_le32(&ccm->dram_clk_cfg,
+                       CCM_DRAMCLK_CFG_DIV_MASK | CCM_DRAMCLK_CFG_SRC_MASK,
+                       CCM_DRAMCLK_CFG_DIV(1) | CCM_DRAMCLK_CFG_SRC_PLL5 |
+                       CCM_DRAMCLK_CFG_UPD);
+       mctl_await_completion(&ccm->dram_clk_cfg, CCM_DRAMCLK_CFG_UPD, 0);
+
+       setbits_le32(&ccm->ahb_reset0_cfg, 1 << AHB_RESET_OFFSET_MCTL);
+       setbits_le32(&ccm->ahb_gate0, 1 << AHB_GATE_OFFSET_MCTL);
+       setbits_le32(&ccm->mbus_reset, CCM_MBUS_RESET_RESET);
+       setbits_le32(&ccm->mbus0_clk_cfg, MBUS_CLK_GATE);
+
+       setbits_le32(&ccm->dram_clk_cfg, CCM_DRAMCLK_CFG_RST);
+       udelay(10);
+
+       writel(0xc00e, &mctl_ctl->clken);
+       udelay(500);
+}
+
+static int mctl_channel_init(struct dram_para *para)
+{
+       struct sunxi_mctl_com_reg * const mctl_com =
+                       (struct sunxi_mctl_com_reg *)SUNXI_DRAM_COM_BASE;
+       struct sunxi_mctl_ctl_reg * const mctl_ctl =
+                       (struct sunxi_mctl_ctl_reg *)SUNXI_DRAM_CTL0_BASE;
+
+       unsigned int i;
+
+       mctl_set_cr(para);
+       mctl_set_timing_params(para);
+       mctl_set_master_priority();
+
+       /* setting VTC, default disable all VT */
+       clrbits_le32(&mctl_ctl->pgcr[0], (1 << 30) | 0x3f);
+       clrsetbits_le32(&mctl_ctl->pgcr[1], 1 << 24, 1 << 26);
+
+       /* increase DFI_PHY_UPD clock */
+       writel(PROTECT_MAGIC, &mctl_com->protect);
+       udelay(100);
+       clrsetbits_le32(&mctl_ctl->upd2, 0xfff << 16, 0x50 << 16);
+       writel(0x0, &mctl_com->protect);
+       udelay(100);
+
+       /* set dramc odt */
+       for (i = 0; i < 4; i++)
+               clrsetbits_le32(&mctl_ctl->datx[i].gcr, (0x3 << 4) |
+                               (0x1 << 1) | (0x3 << 2) | (0x3 << 12) |
+                               (0x3 << 14),
+                               IS_ENABLED(CONFIG_DRAM_ODT_EN) ? 0x0 : 0x2);
+
+       /* AC PDR should always ON */
+       setbits_le32(&mctl_ctl->aciocr, 0x1 << 1);
+
+       /* set DQS auto gating PD mode */
+       setbits_le32(&mctl_ctl->pgcr[2], 0x3 << 6);
+
+       /* dx ddr_clk & hdr_clk dynamic mode */
+       clrbits_le32(&mctl_ctl->pgcr[0], (0x3 << 14) | (0x3 << 12));
+
+       /* dphy & aphy phase select 270 degree */
+       clrsetbits_le32(&mctl_ctl->pgcr[2], (0x3 << 10) | (0x3 << 8),
+                       (0x1 << 10) | (0x2 << 8));
+
+       /* set half DQ */
+       if (para->bus_width != 32) {
+               writel(0x0, &mctl_ctl->datx[2].gcr);
+               writel(0x0, &mctl_ctl->datx[3].gcr);
+       }
+
+       /* data training configuration */
+       clrsetbits_le32(&mctl_ctl->dtcr, 0xf << 24,
+                       (para->dual_rank ? 0x3 : 0x1) << 24);
+
+
+       if (para->read_delays || para->write_delays) {
+               mctl_dq_delay(para->read_delays, para->write_delays);
+               udelay(50);
+       }
+
+       mctl_zq_calibration(para);
+
+       mctl_phy_init(PIR_PLLINIT | PIR_DCAL | PIR_PHYRST | PIR_DRAMRST |
+                     PIR_DRAMINIT | PIR_QSGATE);
+
+       /* detect ranks and bus width */
+       if (readl(&mctl_ctl->pgsr[0]) & (0xfe << 20)) {
+               /* only one rank */
+               if (((readl(&mctl_ctl->datx[0].gsr[0]) >> 24) & 0x2) ||
+                   ((readl(&mctl_ctl->datx[1].gsr[0]) >> 24) & 0x2)) {
+                       clrsetbits_le32(&mctl_ctl->dtcr, 0xf << 24, 0x1 << 24);
+                       para->dual_rank = 0;
+               }
+
+               /* only half DQ width */
+               if (((readl(&mctl_ctl->datx[2].gsr[0]) >> 24) & 0x1) ||
+                   ((readl(&mctl_ctl->datx[3].gsr[0]) >> 24) & 0x1)) {
+                       writel(0x0, &mctl_ctl->datx[2].gcr);
+                       writel(0x0, &mctl_ctl->datx[3].gcr);
+                       para->bus_width = 16;
+               }
+
+               mctl_set_cr(para);
+               udelay(20);
+
+               /* re-train */
+               mctl_phy_init(PIR_QSGATE);
+               if (readl(&mctl_ctl->pgsr[0]) & (0xfe << 20))
+                       return 1;
+       }
+
+       /* check the dramc status */
+       mctl_await_completion(&mctl_ctl->statr, 0x1, 0x1);
+
+       /* liuke added for refresh debug */
+       setbits_le32(&mctl_ctl->rfshctl0, 0x1 << 31);
+       udelay(10);
+       clrbits_le32(&mctl_ctl->rfshctl0, 0x1 << 31);
+       udelay(10);
+
+       /* set PGCR3, CKE polarity */
+       writel(0x00aa0060, &mctl_ctl->pgcr[3]);
+
+       /* power down zq calibration module for power save */
+       setbits_le32(&mctl_ctl->zqcr, ZQCR_PWRDOWN);
+
+       /* enable master access */
+       writel(0xffffffff, &mctl_com->maer);
+
+       return 0;
+}
+
+static void mctl_auto_detect_dram_size(struct dram_para *para)
+{
+       /* detect row address bits */
+       para->page_size = 512;
+       para->row_bits = 16;
+       mctl_set_cr(para);
+
+       for (para->row_bits = 11; para->row_bits < 16; para->row_bits++)
+               if (mctl_mem_matches((1 << (para->row_bits + 3)) * para->page_size))
+                       break;
+
+       /* detect page size */
+       para->page_size = 8192;
+       mctl_set_cr(para);
+
+       for (para->page_size = 512; para->page_size < 8192; para->page_size *= 2)
+               if (mctl_mem_matches(para->page_size))
+                       break;
+}
+
+unsigned long sunxi_dram_init(void)
+{
+       struct sunxi_mctl_com_reg * const mctl_com =
+                       (struct sunxi_mctl_com_reg *)SUNXI_DRAM_COM_BASE;
+       struct sunxi_mctl_ctl_reg * const mctl_ctl =
+                       (struct sunxi_mctl_ctl_reg *)SUNXI_DRAM_CTL0_BASE;
+
+       struct dram_para para = {
+               .read_delays = 0x00007979,
+               .write_delays = 0x6aaa0000,
+               .dual_rank = 0,
+               .bus_width = 32,
+               .row_bits = 15,
+               .page_size = 4096,
+       };
+
+       mctl_sys_init(&para);
+       if (mctl_channel_init(&para))
+               return 0;
+
+       if (para.dual_rank)
+               writel(0x00000303, &mctl_ctl->odtmap);
+       else
+               writel(0x00000201, &mctl_ctl->odtmap);
+       udelay(1);
+
+       /* odt delay */
+       writel(0x0c000400, &mctl_ctl->odtcfg);
+
+       /* clear credit value */
+       setbits_le32(&mctl_com->cccr, 1 << 31);
+       udelay(10);
+
+       mctl_auto_detect_dram_size(&para);
+       mctl_set_cr(&para);
+
+       return (1 << (para.row_bits + 3)) * para.page_size *
+                                               (para.dual_rank ? 2 : 1);
+}
index dcb80b522ead5d0e711190eb6cfd582ffe6411ab..58312a79bc59b9b6edf06e59bce1f6d6a5e8a0c3 100644 (file)
@@ -183,6 +183,29 @@ static void set_r5_start(u8 high)
        writel(tmp, &rpu_base->rpu1_cfg);
 }
 
+static void write_tcm_boot_trampoline(u32 boot_addr)
+{
+       if (boot_addr) {
+               /*
+                * Boot trampoline is simple ASM code below.
+                *
+                *              b over;
+                *      label:
+                *      .word   0
+                *      over:   ldr     r0, =label
+                *              ldr     r1, [r0]
+                *              bx      r1
+                */
+               debug("Write boot trampoline for %x\n", boot_addr);
+               writel(0xea000000, ZYNQMP_TCM_START_ADDRESS);
+               writel(boot_addr, ZYNQMP_TCM_START_ADDRESS + 0x4);
+               writel(0xe59f0004, ZYNQMP_TCM_START_ADDRESS + 0x8);
+               writel(0xe5901000, ZYNQMP_TCM_START_ADDRESS + 0xc);
+               writel(0xe12fff11, ZYNQMP_TCM_START_ADDRESS + 0x10);
+               writel(0x00000004, ZYNQMP_TCM_START_ADDRESS + 0x14); // address for
+       }
+}
+
 int cpu_release(int nr, int argc, char * const argv[])
 {
        if (nr >= ZYNQMP_CORE_APU0 && nr <= ZYNQMP_CORE_APU3) {
@@ -205,11 +228,18 @@ int cpu_release(int nr, int argc, char * const argv[])
                }
 
                u32 boot_addr = simple_strtoul(argv[0], NULL, 16);
+               u32 boot_addr_uniq = 0;
                if (!(boot_addr == ZYNQMP_R5_LOVEC_ADDR ||
                      boot_addr == ZYNQMP_R5_HIVEC_ADDR)) {
-                       printf("Invalid starting address 0x%x\n", boot_addr);
-                       printf("0 or 0xffff0000 are permitted\n");
-                       return 1;
+                       printf("Using TCM jump trampoline for address 0x%x\n",
+                              boot_addr);
+                       /* Save boot address for later usage */
+                       boot_addr_uniq = boot_addr;
+                       /*
+                        * R5 needs to start from LOVEC at TCM
+                        * OCM will be probably occupied by ATF
+                        */
+                       boot_addr = ZYNQMP_R5_LOVEC_ADDR;
                }
 
                if (!strncmp(argv[1], "lockstep", 8)) {
@@ -219,6 +249,7 @@ int cpu_release(int nr, int argc, char * const argv[])
                        set_r5_start(boot_addr);
                        enable_clock_r5();
                        release_r5_reset(LOCK);
+                       write_tcm_boot_trampoline(boot_addr_uniq);
                        set_r5_halt_mode(RELEASE, LOCK);
                } else if (!strncmp(argv[1], "split", 5)) {
                        printf("R5 split mode\n");
@@ -226,6 +257,7 @@ int cpu_release(int nr, int argc, char * const argv[])
                        set_r5_halt_mode(HALT, SPLIT);
                        enable_clock_r5();
                        release_r5_reset(SPLIT);
+                       write_tcm_boot_trampoline(boot_addr_uniq);
                        set_r5_halt_mode(RELEASE, SPLIT);
                } else {
                        printf("Unsupported mode\n");
index 9542fff47d86bca42d5066c48e18b426cac2429c..65e76ace90506dd11543b76c6e868d2cd5065ade 100644 (file)
@@ -147,6 +147,7 @@ dtb-$(CONFIG_MACH_SUN7I) += \
        sun7i-a20-cubietruck.dtb \
        sun7i-a20-hummingbird.dtb \
        sun7i-a20-i12-tvbox.dtb \
+       sun7i-a20-lamobo-r1.dtb \
        sun7i-a20-m3.dtb \
        sun7i-a20-m5.dtb \
        sun7i-a20-mk808c.dtb \
@@ -170,6 +171,9 @@ dtb-$(CONFIG_MACH_SUN8I_A33) += \
        sun8i-a33-ga10h-v1.1.dtb \
        sun8i-a33-q8-tablet.dtb \
        sun8i-a33-sinlinx-sina33.dtb
+dtb-$(CONFIG_MACH_SUN8I_H3) += \
+       sun8i-h3-orangepi-pc.dtb \
+       sun8i-h3-orangepi-plus.dtb
 dtb-$(CONFIG_MACH_SUN9I) += \
        sun9i-a80-optimus.dtb \
        sun9i-a80-cubieboard4.dtb
index 0f497099679470ea39078d6ca9e5b1ae550995b0..ac367f85b98807a7d5432ddadd81f1d9618ad73d 100644 (file)
                interrupts = <GIC_SPI 55 IRQ_TYPE_LEVEL_HIGH>;
                reg-shift = <2>;
                reg-io-width = <4>;
+               clock-frequency = <24000000>;
                clocks = <&cru SCLK_UART0>, <&cru PCLK_UART0>;
                clock-names = "baudclk", "apb_pclk";
                pinctrl-names = "default";
                interrupts = <GIC_SPI 56 IRQ_TYPE_LEVEL_HIGH>;
                reg-shift = <2>;
                reg-io-width = <4>;
+               clock-frequency = <24000000>;
                clocks = <&cru SCLK_UART1>, <&cru PCLK_UART1>;
                clock-names = "baudclk", "apb_pclk";
                pinctrl-names = "default";
                interrupts = <GIC_SPI 57 IRQ_TYPE_LEVEL_HIGH>;
                reg-shift = <2>;
                reg-io-width = <4>;
+               clock-frequency = <24000000>;
                clocks = <&cru SCLK_UART2>, <&cru PCLK_UART2>;
                clock-names = "baudclk", "apb_pclk";
                pinctrl-names = "default";
                interrupts = <GIC_SPI 58 IRQ_TYPE_LEVEL_HIGH>;
                reg-shift = <2>;
                reg-io-width = <4>;
+               clock-frequency = <24000000>;
                clocks = <&cru SCLK_UART3>, <&cru PCLK_UART3>;
                clock-names = "baudclk", "apb_pclk";
                pinctrl-names = "default";
                interrupts = <GIC_SPI 59 IRQ_TYPE_LEVEL_HIGH>;
                reg-shift = <2>;
                reg-io-width = <4>;
+               clock-frequency = <24000000>;
                clocks = <&cru SCLK_UART4>, <&cru PCLK_UART4>;
                clock-names = "baudclk", "apb_pclk";
                pinctrl-names = "default";
diff --git a/arch/arm/dts/sun7i-a20-lamobo-r1.dts b/arch/arm/dts/sun7i-a20-lamobo-r1.dts
new file mode 100644 (file)
index 0000000..975b0b2
--- /dev/null
@@ -0,0 +1,297 @@
+/*
+ * Copyright 2015 Jelle de Jong <jelledejong@powercraft.nl>
+ *
+ * This file is dual-licensed: you can use it either under the terms
+ * of the GPL or the X11 license, at your option. Note that this dual
+ * licensing only applies to this file, and not this project as a
+ * whole.
+ *
+ *  a) This file is free software; you can redistribute it and/or
+ *     modify it under the terms of the GNU General Public License as
+ *     published by the Free Software Foundation; either version 2 of the
+ *     License, or (at your option) any later version.
+ *
+ *     This file is distributed in the hope that it will be useful,
+ *     but WITHOUT ANY WARRANTY; without even the implied warranty of
+ *     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ *     GNU General Public License for more details.
+ *
+ * Or, alternatively,
+ *
+ *  b) Permission is hereby granted, free of charge, to any person
+ *     obtaining a copy of this software and associated documentation
+ *     files (the "Software"), to deal in the Software without
+ *     restriction, including without limitation the rights to use,
+ *     copy, modify, merge, publish, distribute, sublicense, and/or
+ *     sell copies of the Software, and to permit persons to whom the
+ *     Software is furnished to do so, subject to the following
+ *     conditions:
+ *
+ *     The above copyright notice and this permission notice shall be
+ *     included in all copies or substantial portions of the Software.
+ *
+ *     THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
+ *     EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
+ *     OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
+ *     NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
+ *     HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
+ *     WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
+ *     FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
+ *     OTHER DEALINGS IN THE SOFTWARE.
+ */
+
+/dts-v1/;
+#include "sun7i-a20.dtsi"
+#include "sunxi-common-regulators.dtsi"
+
+#include <dt-bindings/gpio/gpio.h>
+#include <dt-bindings/interrupt-controller/irq.h>
+#include <dt-bindings/pinctrl/sun4i-a10.h>
+
+/ {
+       model = "Lamobo R1";
+       compatible = "lamobo,lamobo-r1", "allwinner,sun7i-a20";
+
+       aliases {
+               serial0 = &uart0;
+               serial1 = &uart3;
+               serial2 = &uart7;
+       };
+
+       chosen {
+               stdout-path = "serial0:115200n8";
+       };
+
+       leds {
+               compatible = "gpio-leds";
+               pinctrl-names = "default";
+               pinctrl-0 = <&led_pins_lamobo_r1>;
+
+               green {
+                       label = "lamobo_r1:green:usr";
+                       gpios = <&pio 7 24 GPIO_ACTIVE_HIGH>;
+               };
+       };
+
+       reg_gmac_3v3: gmac-3v3 {
+               compatible = "regulator-fixed";
+               pinctrl-names = "default";
+               pinctrl-0 = <&gmac_power_pin_lamobo_r1>;
+               regulator-name = "gmac-3v3";
+               regulator-min-microvolt = <3300000>;
+               regulator-max-microvolt = <3300000>;
+               startup-delay-us = <100000>;
+               enable-active-high;
+               gpio = <&pio 7 23 GPIO_ACTIVE_HIGH>; /* PH23 */
+       };
+};
+
+&ahci_pwr_pin_a {
+       allwinner,pins = "PB3";
+};
+
+&ahci {
+       target-supply = <&reg_ahci_5v>;
+       status = "okay";
+};
+
+&cpu0 {
+       cpu-supply = <&reg_dcdc2>;
+       operating-points = <
+               /* kHz    uV */
+               960000  1400000
+               912000  1400000
+               864000  1350000
+               720000  1250000
+               528000  1150000
+               312000  1100000
+               144000  1050000
+               >;
+};
+
+&ehci0 {
+       status = "okay";
+};
+
+&ehci1 {
+       status = "okay";
+};
+
+&gmac {
+       pinctrl-names = "default";
+       pinctrl-0 = <&gmac_pins_rgmii_a>;
+       phy = <&phy1>;
+       phy-mode = "rgmii";
+       phy-supply = <&reg_gmac_3v3>;
+       status = "okay";
+
+       phy1: ethernet-phy@1 {
+               reg = <1>;
+       };
+};
+
+&i2c0 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c0_pins_a>;
+       status = "okay";
+
+       axp209: pmic@34 {
+               reg = <0x34>;
+               interrupt-parent = <&nmi_intc>;
+               interrupts = <0 IRQ_TYPE_LEVEL_LOW>;
+       };
+};
+
+&i2c2 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&i2c2_pins_a>;
+       status = "okay";
+};
+
+&ir0 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&ir0_rx_pins_a>;
+       status = "okay";
+};
+
+&mmc0 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&mmc0_pins_a>, <&mmc0_cd_pin_lamobo_r1>;
+       vmmc-supply = <&reg_vcc3v3>;
+       bus-width = <4>;
+       cd-gpios = <&pio 7 10 GPIO_ACTIVE_HIGH>; /* PH10 */
+       cd-inverted;
+       status = "okay";
+};
+
+&ohci0 {
+       status = "okay";
+};
+
+&ohci1 {
+       status = "okay";
+};
+
+&otg_sram {
+       status = "okay";
+};
+
+&pio {
+       usb0_id_detect_pin: usb0_id_detect_pin@0 {
+               allwinner,pins = "PH4";
+               allwinner,function = "gpio_in";
+               allwinner,drive = <SUN4I_PINCTRL_10_MA>;
+               allwinner,pull = <SUN4I_PINCTRL_PULL_UP>;
+       };
+
+       mmc0_cd_pin_lamobo_r1: mmc0_cd_pin@0 {
+               allwinner,pins = "PH10";
+               allwinner,function = "gpio_in";
+               allwinner,drive = <SUN4I_PINCTRL_10_MA>;
+               allwinner,pull = <SUN4I_PINCTRL_PULL_UP>;
+       };
+
+       gmac_power_pin_lamobo_r1: gmac_power_pin@0 {
+               allwinner,pins = "PH23";
+               allwinner,function = "gpio_out";
+               allwinner,drive = <SUN4I_PINCTRL_10_MA>;
+               allwinner,pull = <SUN4I_PINCTRL_NO_PULL>;
+       };
+
+       led_pins_lamobo_r1: led_pins@0 {
+               allwinner,pins = "PH24";
+               allwinner,function = "gpio_out";
+               allwinner,drive = <SUN4I_PINCTRL_10_MA>;
+               allwinner,pull = <SUN4I_PINCTRL_NO_PULL>;
+       };
+};
+
+#include "axp209.dtsi"
+
+&reg_ahci_5v {
+       gpio = <&pio 1 3 0>; /* PB3 */
+       status = "okay";
+};
+
+&reg_dcdc2 {
+       regulator-always-on;
+       regulator-min-microvolt = <1000000>;
+       regulator-max-microvolt = <1400000>;
+       regulator-name = "vdd-cpu";
+};
+
+&reg_dcdc3 {
+       regulator-always-on;
+       regulator-min-microvolt = <1000000>;
+       regulator-max-microvolt = <1400000>;
+       regulator-name = "vdd-int-dll";
+};
+
+&reg_ldo1 {
+       regulator-name = "vdd-rtc";
+};
+
+&reg_ldo2 {
+       regulator-always-on;
+       regulator-min-microvolt = <3000000>;
+       regulator-max-microvolt = <3000000>;
+       regulator-name = "avcc";
+};
+
+&reg_usb0_vbus {
+       status = "okay";
+};
+
+&reg_usb1_vbus {
+       status = "okay";
+};
+
+&reg_usb2_vbus {
+       status = "okay";
+};
+
+&spi0 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&spi0_pins_a>,
+                   <&spi0_cs0_pins_a>,
+                   <&spi0_cs1_pins_a>;
+       status = "okay";
+};
+
+&uart0 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&uart0_pins_a>;
+       status = "okay";
+};
+
+&uart3 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&uart3_pins_b>;
+       status = "okay";
+};
+
+&uart7 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&uart7_pins_a>;
+       status = "okay";
+};
+
+&usb_otg {
+       dr_mode = "otg";
+       status = "okay";
+};
+
+&usb_power_supply {
+       status = "okay";
+};
+
+&usbphy {
+       pinctrl-names = "default";
+       pinctrl-0 = <&usb0_id_detect_pin>;
+       usb0_id_det-gpio = <&pio 7 4 GPIO_ACTIVE_HIGH>; /* PH4 */
+       usb0_vbus_power-supply = <&usb_power_supply>;
+       usb0_vbus-supply = <&reg_usb0_vbus>;
+       usb1_vbus-supply = <&reg_usb1_vbus>;
+       usb2_vbus-supply = <&reg_usb2_vbus>;
+       status = "okay";
+};
diff --git a/arch/arm/dts/sun8i-h3-orangepi-pc.dts b/arch/arm/dts/sun8i-h3-orangepi-pc.dts
new file mode 100644 (file)
index 0000000..4b25dcc
--- /dev/null
@@ -0,0 +1,106 @@
+/*
+ * Copyright (C) 2015 Chen-Yu Tsai <wens@csie.org>
+ *
+ * This file is dual-licensed: you can use it either under the terms
+ * of the GPL or the X11 license, at your option. Note that this dual
+ * licensing only applies to this file, and not this project as a
+ * whole.
+ *
+ *  a) This file is free software; you can redistribute it and/or
+ *     modify it under the terms of the GNU General Public License as
+ *     published by the Free Software Foundation; either version 2 of the
+ *     License, or (at your option) any later version.
+ *
+ *     This file is distributed in the hope that it will be useful,
+ *     but WITHOUT ANY WARRANTY; without even the implied warranty of
+ *     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ *     GNU General Public License for more details.
+ *
+ * Or, alternatively,
+ *
+ *  b) Permission is hereby granted, free of charge, to any person
+ *     obtaining a copy of this software and associated documentation
+ *     files (the "Software"), to deal in the Software without
+ *     restriction, including without limitation the rights to use,
+ *     copy, modify, merge, publish, distribute, sublicense, and/or
+ *     sell copies of the Software, and to permit persons to whom the
+ *     Software is furnished to do so, subject to the following
+ *     conditions:
+ *
+ *     The above copyright notice and this permission notice shall be
+ *     included in all copies or substantial portions of the Software.
+ *
+ *     THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
+ *     EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
+ *     OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
+ *     NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
+ *     HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
+ *     WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
+ *     FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
+ *     OTHER DEALINGS IN THE SOFTWARE.
+ */
+
+/dts-v1/;
+#include "sun8i-h3.dtsi"
+#include "sunxi-common-regulators.dtsi"
+
+#include <dt-bindings/gpio/gpio.h>
+#include <dt-bindings/pinctrl/sun4i-a10.h>
+
+/ {
+       model = "Xunlong Orange Pi PC";
+       compatible = "xunlong,orangepi-pc", "allwinner,sun8i-h3";
+
+       aliases {
+               serial0 = &uart0;
+       };
+
+       chosen {
+               stdout-path = "serial0:115200n8";
+       };
+};
+
+&ehci1 {
+       status = "okay";
+};
+
+&ehci2 {
+       status = "okay";
+};
+
+&ehci3 {
+       status = "okay";
+};
+
+&mmc0 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&mmc0_pins_a>, <&mmc0_cd_pin>;
+       vmmc-supply = <&reg_vcc3v3>;
+       bus-width = <4>;
+       cd-gpios = <&pio 5 6 GPIO_ACTIVE_HIGH>; /* PF6 */
+       cd-inverted;
+       status = "okay";
+};
+
+&ohci1 {
+       status = "okay";
+};
+
+&ohci2 {
+       status = "okay";
+};
+
+&ohci3 {
+       status = "okay";
+};
+
+&uart0 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&uart0_pins_a>;
+       status = "okay";
+};
+
+&usbphy {
+       /* USB VBUS is always on */
+       status = "okay";
+};
diff --git a/arch/arm/dts/sun8i-h3-orangepi-plus.dts b/arch/arm/dts/sun8i-h3-orangepi-plus.dts
new file mode 100644 (file)
index 0000000..1cb6c66
--- /dev/null
@@ -0,0 +1,121 @@
+/*
+ * Copyright (C) 2015 Jens Kuske <jenskuske@gmail.com>
+ *
+ * This file is dual-licensed: you can use it either under the terms
+ * of the GPL or the X11 license, at your option. Note that this dual
+ * licensing only applies to this file, and not this project as a
+ * whole.
+ *
+ *  a) This file is free software; you can redistribute it and/or
+ *     modify it under the terms of the GNU General Public License as
+ *     published by the Free Software Foundation; either version 2 of the
+ *     License, or (at your option) any later version.
+ *
+ *     This file is distributed in the hope that it will be useful,
+ *     but WITHOUT ANY WARRANTY; without even the implied warranty of
+ *     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ *     GNU General Public License for more details.
+ *
+ * Or, alternatively,
+ *
+ *  b) Permission is hereby granted, free of charge, to any person
+ *     obtaining a copy of this software and associated documentation
+ *     files (the "Software"), to deal in the Software without
+ *     restriction, including without limitation the rights to use,
+ *     copy, modify, merge, publish, distribute, sublicense, and/or
+ *     sell copies of the Software, and to permit persons to whom the
+ *     Software is furnished to do so, subject to the following
+ *     conditions:
+ *
+ *     The above copyright notice and this permission notice shall be
+ *     included in all copies or substantial portions of the Software.
+ *
+ *     THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
+ *     EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
+ *     OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
+ *     NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
+ *     HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
+ *     WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
+ *     FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
+ *     OTHER DEALINGS IN THE SOFTWARE.
+ */
+
+/dts-v1/;
+#include "sun8i-h3.dtsi"
+#include "sunxi-common-regulators.dtsi"
+
+#include <dt-bindings/gpio/gpio.h>
+#include <dt-bindings/pinctrl/sun4i-a10.h>
+
+/ {
+       model = "Xunlong Orange Pi Plus";
+       compatible = "xunlong,orangepi-plus", "allwinner,sun8i-h3";
+
+       aliases {
+               serial0 = &uart0;
+       };
+
+       chosen {
+               stdout-path = "serial0:115200n8";
+       };
+
+       reg_usb3_vbus: usb3-vbus {
+               compatible = "regulator-fixed";
+               pinctrl-names = "default";
+               pinctrl-0 = <&usb3_vbus_pin_a>;
+               regulator-name = "usb3-vbus";
+               regulator-min-microvolt = <5000000>;
+               regulator-max-microvolt = <5000000>;
+               regulator-boot-on;
+               enable-active-high;
+               gpio = <&pio 6 11 GPIO_ACTIVE_HIGH>;
+       };
+};
+
+&ehci1 {
+       status = "okay";
+};
+
+&ehci3 {
+       status = "okay";
+};
+
+&pio {
+       usb3_vbus_pin_a: usb3_vbus_pin@0 {
+               allwinner,pins = "PG11";
+               allwinner,function = "gpio_out";
+               allwinner,drive = <SUN4I_PINCTRL_10_MA>;
+               allwinner,pull = <SUN4I_PINCTRL_NO_PULL>;
+       };
+};
+
+&mmc0 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&mmc0_pins_a>, <&mmc0_cd_pin>;
+       vmmc-supply = <&reg_vcc3v3>;
+       bus-width = <4>;
+       cd-gpios = <&pio 5 6 GPIO_ACTIVE_HIGH>; /* PF6 */
+       cd-inverted;
+       status = "okay";
+};
+
+&reg_usb1_vbus {
+       gpio = <&pio 6 13 GPIO_ACTIVE_HIGH>;
+       status = "okay";
+};
+
+&uart0 {
+       pinctrl-names = "default";
+       pinctrl-0 = <&uart0_pins_a>;
+       status = "okay";
+};
+
+&usb1_vbus_pin_a {
+       allwinner,pins = "PG13";
+};
+
+&usbphy {
+       usb1_vbus-supply = <&reg_usb1_vbus>;
+       usb3_vbus-supply = <&reg_usb3_vbus>;
+       status = "okay";
+};
diff --git a/arch/arm/dts/sun8i-h3.dtsi b/arch/arm/dts/sun8i-h3.dtsi
new file mode 100644 (file)
index 0000000..0faa38a
--- /dev/null
@@ -0,0 +1,595 @@
+/*
+ * Copyright (C) 2015 Jens Kuske <jenskuske@gmail.com>
+ *
+ * This file is dual-licensed: you can use it either under the terms
+ * of the GPL or the X11 license, at your option. Note that this dual
+ * licensing only applies to this file, and not this project as a
+ * whole.
+ *
+ *  a) This file is free software; you can redistribute it and/or
+ *     modify it under the terms of the GNU General Public License as
+ *     published by the Free Software Foundation; either version 2 of the
+ *     License, or (at your option) any later version.
+ *
+ *     This file is distributed in the hope that it will be useful,
+ *     but WITHOUT ANY WARRANTY; without even the implied warranty of
+ *     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ *     GNU General Public License for more details.
+ *
+ * Or, alternatively,
+ *
+ *  b) Permission is hereby granted, free of charge, to any person
+ *     obtaining a copy of this software and associated documentation
+ *     files (the "Software"), to deal in the Software without
+ *     restriction, including without limitation the rights to use,
+ *     copy, modify, merge, publish, distribute, sublicense, and/or
+ *     sell copies of the Software, and to permit persons to whom the
+ *     Software is furnished to do so, subject to the following
+ *     conditions:
+ *
+ *     The above copyright notice and this permission notice shall be
+ *     included in all copies or substantial portions of the Software.
+ *
+ *     THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
+ *     EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
+ *     OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
+ *     NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
+ *     HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
+ *     WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
+ *     FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
+ *     OTHER DEALINGS IN THE SOFTWARE.
+ */
+
+#include "skeleton.dtsi"
+
+#include <dt-bindings/interrupt-controller/arm-gic.h>
+#include <dt-bindings/pinctrl/sun4i-a10.h>
+
+/ {
+       interrupt-parent = <&gic>;
+
+       cpus {
+               #address-cells = <1>;
+               #size-cells = <0>;
+
+               cpu@0 {
+                       compatible = "arm,cortex-a7";
+                       device_type = "cpu";
+                       reg = <0>;
+               };
+
+               cpu@1 {
+                       compatible = "arm,cortex-a7";
+                       device_type = "cpu";
+                       reg = <1>;
+               };
+
+               cpu@2 {
+                       compatible = "arm,cortex-a7";
+                       device_type = "cpu";
+                       reg = <2>;
+               };
+
+               cpu@3 {
+                       compatible = "arm,cortex-a7";
+                       device_type = "cpu";
+                       reg = <3>;
+               };
+       };
+
+       timer {
+               compatible = "arm,armv7-timer";
+               interrupts = <GIC_PPI 13 (GIC_CPU_MASK_SIMPLE(4) | IRQ_TYPE_LEVEL_LOW)>,
+                            <GIC_PPI 14 (GIC_CPU_MASK_SIMPLE(4) | IRQ_TYPE_LEVEL_LOW)>,
+                            <GIC_PPI 11 (GIC_CPU_MASK_SIMPLE(4) | IRQ_TYPE_LEVEL_LOW)>,
+                            <GIC_PPI 10 (GIC_CPU_MASK_SIMPLE(4) | IRQ_TYPE_LEVEL_LOW)>;
+               clock-frequency = <24000000>;
+               arm,cpu-registers-not-fw-configured;
+       };
+
+       memory {
+               reg = <0x40000000 0x80000000>;
+       };
+
+       clocks {
+               #address-cells = <1>;
+               #size-cells = <1>;
+               ranges;
+
+               osc24M: osc24M_clk {
+                       #clock-cells = <0>;
+                       compatible = "fixed-clock";
+                       clock-frequency = <24000000>;
+                       clock-output-names = "osc24M";
+               };
+
+               osc32k: osc32k_clk {
+                       #clock-cells = <0>;
+                       compatible = "fixed-clock";
+                       clock-frequency = <32768>;
+                       clock-output-names = "osc32k";
+               };
+
+               pll1: clk@01c20000 {
+                       #clock-cells = <0>;
+                       compatible = "allwinner,sun8i-a23-pll1-clk";
+                       reg = <0x01c20000 0x4>;
+                       clocks = <&osc24M>;
+                       clock-output-names = "pll1";
+               };
+
+               /* dummy clock until actually implemented */
+               pll5: pll5_clk {
+                       #clock-cells = <0>;
+                       compatible = "fixed-clock";
+                       clock-frequency = <0>;
+                       clock-output-names = "pll5";
+               };
+
+               pll6: clk@01c20028 {
+                       #clock-cells = <1>;
+                       compatible = "allwinner,sun6i-a31-pll6-clk";
+                       reg = <0x01c20028 0x4>;
+                       clocks = <&osc24M>;
+                       clock-output-names = "pll6", "pll6x2", "pll6d2";
+               };
+
+               pll8: clk@01c20044 {
+                       #clock-cells = <1>;
+                       compatible = "allwinner,sun6i-a31-pll6-clk";
+                       reg = <0x01c20044 0x4>;
+                       clocks = <&osc24M>;
+                       clock-output-names = "pll8", "pll8x2";
+               };
+
+               cpu: cpu_clk@01c20050 {
+                       #clock-cells = <0>;
+                       compatible = "allwinner,sun4i-a10-cpu-clk";
+                       reg = <0x01c20050 0x4>;
+                       clocks = <&osc32k>, <&osc24M>, <&pll1>, <&pll1>;
+                       clock-output-names = "cpu";
+               };
+
+               axi: axi_clk@01c20050 {
+                       #clock-cells = <0>;
+                       compatible = "allwinner,sun4i-a10-axi-clk";
+                       reg = <0x01c20050 0x4>;
+                       clocks = <&cpu>;
+                       clock-output-names = "axi";
+               };
+
+               ahb1: ahb1_clk@01c20054 {
+                       #clock-cells = <0>;
+                       compatible = "allwinner,sun6i-a31-ahb1-clk";
+                       reg = <0x01c20054 0x4>;
+                       clocks = <&osc32k>, <&osc24M>, <&axi>, <&pll6 0>;
+                       clock-output-names = "ahb1";
+               };
+
+               ahb2: ahb2_clk@01c2005c {
+                       #clock-cells = <0>;
+                       compatible = "allwinner,sun8i-h3-ahb2-clk";
+                       reg = <0x01c2005c 0x4>;
+                       clocks = <&ahb1>, <&pll6 2>;
+                       clock-output-names = "ahb2";
+               };
+
+               apb1: apb1_clk@01c20054 {
+                       #clock-cells = <0>;
+                       compatible = "allwinner,sun4i-a10-apb0-clk";
+                       reg = <0x01c20054 0x4>;
+                       clocks = <&ahb1>;
+                       clock-output-names = "apb1";
+               };
+
+               apb2: apb2_clk@01c20058 {
+                       #clock-cells = <0>;
+                       compatible = "allwinner,sun4i-a10-apb1-clk";
+                       reg = <0x01c20058 0x4>;
+                       clocks = <&osc32k>, <&osc24M>, <&pll6 0>, <&pll6 0>;
+                       clock-output-names = "apb2";
+               };
+
+               bus_gates: clk@01c20060 {
+                       #clock-cells = <1>;
+                       compatible = "allwinner,sun8i-h3-bus-gates-clk";
+                       reg = <0x01c20060 0x14>;
+                       clocks = <&ahb1>, <&ahb2>, <&apb1>, <&apb2>;
+                       clock-names = "ahb1", "ahb2", "apb1", "apb2";
+                       clock-indices = <5>, <6>, <8>,
+                                       <9>, <10>, <13>,
+                                       <14>, <17>, <18>,
+                                       <19>, <20>,
+                                       <21>, <23>,
+                                       <24>, <25>,
+                                       <26>, <27>,
+                                       <28>, <29>,
+                                       <30>, <31>, <32>,
+                                       <35>, <36>, <37>,
+                                       <40>, <41>, <43>,
+                                       <44>, <52>, <53>,
+                                       <54>, <64>,
+                                       <65>, <69>, <72>,
+                                       <76>, <77>, <78>,
+                                       <96>, <97>, <98>,
+                                       <112>, <113>,
+                                       <114>, <115>, <116>,
+                                       <128>, <135>;
+                       clock-output-names = "ahb1_ce", "ahb1_dma", "ahb1_mmc0",
+                                       "ahb1_mmc1", "ahb1_mmc2", "ahb1_nand",
+                                       "ahb1_sdram", "ahb2_gmac", "ahb1_ts",
+                                       "ahb1_hstimer", "ahb1_spi0",
+                                       "ahb1_spi1", "ahb1_otg",
+                                       "ahb1_otg_ehci0", "ahb1_ehic1",
+                                       "ahb1_ehic2", "ahb1_ehic3",
+                                       "ahb1_otg_ohci0", "ahb2_ohic1",
+                                       "ahb2_ohic2", "ahb2_ohic3", "ahb1_ve",
+                                       "ahb1_lcd0", "ahb1_lcd1", "ahb1_deint",
+                                       "ahb1_csi", "ahb1_tve", "ahb1_hdmi",
+                                       "ahb1_de", "ahb1_gpu", "ahb1_msgbox",
+                                       "ahb1_spinlock", "apb1_codec",
+                                       "apb1_spdif", "apb1_pio", "apb1_ths",
+                                       "apb1_i2s0", "apb1_i2s1", "apb1_i2s2",
+                                       "apb2_i2c0", "apb2_i2c1", "apb2_i2c2",
+                                       "apb2_uart0", "apb2_uart1",
+                                       "apb2_uart2", "apb2_uart3", "apb2_scr",
+                                       "ahb1_ephy", "ahb1_dbg";
+               };
+
+               mmc0_clk: clk@01c20088 {
+                       #clock-cells = <1>;
+                       compatible = "allwinner,sun4i-a10-mmc-clk";
+                       reg = <0x01c20088 0x4>;
+                       clocks = <&osc24M>, <&pll6 0>, <&pll8 0>;
+                       clock-output-names = "mmc0",
+                                            "mmc0_output",
+                                            "mmc0_sample";
+               };
+
+               mmc1_clk: clk@01c2008c {
+                       #clock-cells = <1>;
+                       compatible = "allwinner,sun4i-a10-mmc-clk";
+                       reg = <0x01c2008c 0x4>;
+                       clocks = <&osc24M>, <&pll6 0>, <&pll8 0>;
+                       clock-output-names = "mmc1",
+                                            "mmc1_output",
+                                            "mmc1_sample";
+               };
+
+               mmc2_clk: clk@01c20090 {
+                       #clock-cells = <1>;
+                       compatible = "allwinner,sun4i-a10-mmc-clk";
+                       reg = <0x01c20090 0x4>;
+                       clocks = <&osc24M>, <&pll6 0>, <&pll8 0>;
+                       clock-output-names = "mmc2",
+                                            "mmc2_output",
+                                            "mmc2_sample";
+               };
+
+               usb_clk: clk@01c200cc {
+                       #clock-cells = <1>;
+                       #reset-cells = <1>;
+                       compatible = "allwinner,sun8i-h3-usb-clk";
+                       reg = <0x01c200cc 0x4>;
+                       clocks = <&osc24M>;
+                       clock-output-names = "usb_phy0", "usb_phy1",
+                                            "usb_phy2", "usb_phy3",
+                                            "usb_ohci0", "usb_ohci1",
+                                            "usb_ohci2", "usb_ohci3";
+               };
+
+               mbus_clk: clk@01c2015c {
+                       #clock-cells = <0>;
+                       compatible = "allwinner,sun8i-a23-mbus-clk";
+                       reg = <0x01c2015c 0x4>;
+                       clocks = <&osc24M>, <&pll6 1>, <&pll5>;
+                       clock-output-names = "mbus";
+               };
+       };
+
+       soc {
+               compatible = "simple-bus";
+               #address-cells = <1>;
+               #size-cells = <1>;
+               ranges;
+
+               dma: dma-controller@01c02000 {
+                       compatible = "allwinner,sun8i-h3-dma";
+                       reg = <0x01c02000 0x1000>;
+                       interrupts = <GIC_SPI 50 IRQ_TYPE_LEVEL_HIGH>;
+                       clocks = <&bus_gates 6>;
+                       resets = <&bus_rst 6>;
+                       #dma-cells = <1>;
+               };
+
+               mmc0: mmc@01c0f000 {
+                       compatible = "allwinner,sun5i-a13-mmc";
+                       reg = <0x01c0f000 0x1000>;
+                       clocks = <&bus_gates 8>,
+                                <&mmc0_clk 0>,
+                                <&mmc0_clk 1>,
+                                <&mmc0_clk 2>;
+                       clock-names = "ahb",
+                                     "mmc",
+                                     "output",
+                                     "sample";
+                       resets = <&bus_rst 8>;
+                       reset-names = "ahb";
+                       interrupts = <GIC_SPI 60 IRQ_TYPE_LEVEL_HIGH>;
+                       status = "disabled";
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+               };
+
+               mmc1: mmc@01c10000 {
+                       compatible = "allwinner,sun5i-a13-mmc";
+                       reg = <0x01c10000 0x1000>;
+                       clocks = <&bus_gates 9>,
+                                <&mmc1_clk 0>,
+                                <&mmc1_clk 1>,
+                                <&mmc1_clk 2>;
+                       clock-names = "ahb",
+                                     "mmc",
+                                     "output",
+                                     "sample";
+                       resets = <&bus_rst 9>;
+                       reset-names = "ahb";
+                       interrupts = <GIC_SPI 61 IRQ_TYPE_LEVEL_HIGH>;
+                       status = "disabled";
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+               };
+
+               mmc2: mmc@01c11000 {
+                       compatible = "allwinner,sun5i-a13-mmc";
+                       reg = <0x01c11000 0x1000>;
+                       clocks = <&bus_gates 10>,
+                                <&mmc2_clk 0>,
+                                <&mmc2_clk 1>,
+                                <&mmc2_clk 2>;
+                       clock-names = "ahb",
+                                     "mmc",
+                                     "output",
+                                     "sample";
+                       resets = <&bus_rst 10>;
+                       reset-names = "ahb";
+                       interrupts = <GIC_SPI 62 IRQ_TYPE_LEVEL_HIGH>;
+                       status = "disabled";
+                       #address-cells = <1>;
+                       #size-cells = <0>;
+               };
+
+               usbphy: phy@01c19400 {
+                       compatible = "allwinner,sun8i-h3-usb-phy";
+                       reg = <0x01c19400 0x2c>,
+                             <0x01c1a800 0x4>,
+                             <0x01c1b800 0x4>,
+                             <0x01c1c800 0x4>,
+                             <0x01c1d800 0x4>;
+                       reg-names = "phy_ctrl",
+                                   "pmu0",
+                                   "pmu1",
+                                   "pmu2",
+                                   "pmu3";
+                       clocks = <&usb_clk 8>,
+                                <&usb_clk 9>,
+                                <&usb_clk 10>,
+                                <&usb_clk 11>;
+                       clock-names = "usb0_phy",
+                                     "usb1_phy",
+                                     "usb2_phy",
+                                     "usb3_phy";
+                       resets = <&usb_clk 0>,
+                                <&usb_clk 1>,
+                                <&usb_clk 2>,
+                                <&usb_clk 3>;
+                       reset-names = "usb0_reset",
+                                     "usb1_reset",
+                                     "usb2_reset",
+                                     "usb3_reset";
+                       status = "disabled";
+                       #phy-cells = <1>;
+               };
+
+               ehci1: usb@01c1b000 {
+                       compatible = "allwinner,sun8i-h3-ehci", "generic-ehci";
+                       reg = <0x01c1b000 0x100>;
+                       interrupts = <GIC_SPI 74 IRQ_TYPE_LEVEL_HIGH>;
+                       clocks = <&bus_gates 25>, <&bus_gates 29>;
+                       resets = <&bus_rst 25>, <&bus_rst 29>;
+                       phys = <&usbphy 1>;
+                       phy-names = "usb";
+                       status = "disabled";
+               };
+
+               ohci1: usb@01c1b400 {
+                       compatible = "allwinner,sun8i-h3-ohci", "generic-ohci";
+                       reg = <0x01c1b400 0x100>;
+                       interrupts = <GIC_SPI 75 IRQ_TYPE_LEVEL_HIGH>;
+                       clocks = <&bus_gates 29>, <&bus_gates 25>,
+                                <&usb_clk 17>;
+                       resets = <&bus_rst 29>, <&bus_rst 25>;
+                       phys = <&usbphy 1>;
+                       phy-names = "usb";
+                       status = "disabled";
+               };
+
+               ehci2: usb@01c1c000 {
+                       compatible = "allwinner,sun8i-h3-ehci", "generic-ehci";
+                       reg = <0x01c1c000 0x100>;
+                       interrupts = <GIC_SPI 76 IRQ_TYPE_LEVEL_HIGH>;
+                       clocks = <&bus_gates 26>, <&bus_gates 30>;
+                       resets = <&bus_rst 26>, <&bus_rst 30>;
+                       phys = <&usbphy 2>;
+                       phy-names = "usb";
+                       status = "disabled";
+               };
+
+               ohci2: usb@01c1c400 {
+                       compatible = "allwinner,sun8i-h3-ohci", "generic-ohci";
+                       reg = <0x01c1c400 0x100>;
+                       interrupts = <GIC_SPI 77 IRQ_TYPE_LEVEL_HIGH>;
+                       clocks = <&bus_gates 30>, <&bus_gates 26>,
+                                <&usb_clk 18>;
+                       resets = <&bus_rst 30>, <&bus_rst 26>;
+                       phys = <&usbphy 2>;
+                       phy-names = "usb";
+                       status = "disabled";
+               };
+
+               ehci3: usb@01c1d000 {
+                       compatible = "allwinner,sun8i-h3-ehci", "generic-ehci";
+                       reg = <0x01c1d000 0x100>;
+                       interrupts = <GIC_SPI 78 IRQ_TYPE_LEVEL_HIGH>;
+                       clocks = <&bus_gates 27>, <&bus_gates 31>;
+                       resets = <&bus_rst 27>, <&bus_rst 31>;
+                       phys = <&usbphy 3>;
+                       phy-names = "usb";
+                       status = "disabled";
+               };
+
+               ohci3: usb@01c1d400 {
+                       compatible = "allwinner,sun8i-h3-ohci", "generic-ohci";
+                       reg = <0x01c1d400 0x100>;
+                       interrupts = <GIC_SPI 79 IRQ_TYPE_LEVEL_HIGH>;
+                       clocks = <&bus_gates 31>, <&bus_gates 27>,
+                                <&usb_clk 19>;
+                       resets = <&bus_rst 31>, <&bus_rst 27>;
+                       phys = <&usbphy 3>;
+                       phy-names = "usb";
+                       status = "disabled";
+               };
+
+               pio: pinctrl@01c20800 {
+                       compatible = "allwinner,sun8i-h3-pinctrl";
+                       reg = <0x01c20800 0x400>;
+                       interrupts = <GIC_SPI 11 IRQ_TYPE_LEVEL_HIGH>,
+                                    <GIC_SPI 17 IRQ_TYPE_LEVEL_HIGH>;
+                       clocks = <&bus_gates 69>;
+                       gpio-controller;
+                       #gpio-cells = <3>;
+                       interrupt-controller;
+                       #interrupt-cells = <2>;
+
+                       uart0_pins_a: uart0@0 {
+                               allwinner,pins = "PA4", "PA5";
+                               allwinner,function = "uart0";
+                               allwinner,drive = <SUN4I_PINCTRL_10_MA>;
+                               allwinner,pull = <SUN4I_PINCTRL_NO_PULL>;
+                       };
+
+                       mmc0_pins_a: mmc0@0 {
+                               allwinner,pins = "PF0", "PF1", "PF2", "PF3",
+                                                "PF4", "PF5";
+                               allwinner,function = "mmc0";
+                               allwinner,drive = <SUN4I_PINCTRL_30_MA>;
+                               allwinner,pull = <SUN4I_PINCTRL_NO_PULL>;
+                       };
+
+                       mmc0_cd_pin: mmc0_cd_pin@0 {
+                               allwinner,pins = "PF6";
+                               allwinner,function = "gpio_in";
+                               allwinner,drive = <SUN4I_PINCTRL_10_MA>;
+                               allwinner,pull = <SUN4I_PINCTRL_PULL_UP>;
+                       };
+
+                       mmc1_pins_a: mmc1@0 {
+                               allwinner,pins = "PG0", "PG1", "PG2", "PG3",
+                                                "PG4", "PG5";
+                               allwinner,function = "mmc1";
+                               allwinner,drive = <SUN4I_PINCTRL_30_MA>;
+                               allwinner,pull = <SUN4I_PINCTRL_NO_PULL>;
+                       };
+               };
+
+               bus_rst: reset@01c202c0 {
+                       #reset-cells = <1>;
+                       compatible = "allwinner,sun8i-h3-bus-reset";
+                       reg = <0x01c202c0 0x1c>;
+               };
+
+               timer@01c20c00 {
+                       compatible = "allwinner,sun4i-a10-timer";
+                       reg = <0x01c20c00 0xa0>;
+                       interrupts = <GIC_SPI 18 IRQ_TYPE_LEVEL_HIGH>,
+                                    <GIC_SPI 19 IRQ_TYPE_LEVEL_HIGH>;
+                       clocks = <&osc24M>;
+               };
+
+               wdt0: watchdog@01c20ca0 {
+                       compatible = "allwinner,sun6i-a31-wdt";
+                       reg = <0x01c20ca0 0x20>;
+                       interrupts = <GIC_SPI 25 IRQ_TYPE_LEVEL_HIGH>;
+               };
+
+               uart0: serial@01c28000 {
+                       compatible = "snps,dw-apb-uart";
+                       reg = <0x01c28000 0x400>;
+                       interrupts = <GIC_SPI 0 IRQ_TYPE_LEVEL_HIGH>;
+                       reg-shift = <2>;
+                       reg-io-width = <4>;
+                       clocks = <&bus_gates 112>;
+                       resets = <&bus_rst 144>;
+                       dmas = <&dma 6>, <&dma 6>;
+                       dma-names = "rx", "tx";
+                       status = "disabled";
+               };
+
+               uart1: serial@01c28400 {
+                       compatible = "snps,dw-apb-uart";
+                       reg = <0x01c28400 0x400>;
+                       interrupts = <GIC_SPI 1 IRQ_TYPE_LEVEL_HIGH>;
+                       reg-shift = <2>;
+                       reg-io-width = <4>;
+                       clocks = <&bus_gates 113>;
+                       resets = <&bus_rst 145>;
+                       dmas = <&dma 7>, <&dma 7>;
+                       dma-names = "rx", "tx";
+                       status = "disabled";
+               };
+
+               uart2: serial@01c28800 {
+                       compatible = "snps,dw-apb-uart";
+                       reg = <0x01c28800 0x400>;
+                       interrupts = <GIC_SPI 2 IRQ_TYPE_LEVEL_HIGH>;
+                       reg-shift = <2>;
+                       reg-io-width = <4>;
+                       clocks = <&bus_gates 114>;
+                       resets = <&bus_rst 146>;
+                       dmas = <&dma 8>, <&dma 8>;
+                       dma-names = "rx", "tx";
+                       status = "disabled";
+               };
+
+               uart3: serial@01c28c00 {
+                       compatible = "snps,dw-apb-uart";
+                       reg = <0x01c28c00 0x400>;
+                       interrupts = <GIC_SPI 3 IRQ_TYPE_LEVEL_HIGH>;
+                       reg-shift = <2>;
+                       reg-io-width = <4>;
+                       clocks = <&bus_gates 115>;
+                       resets = <&bus_rst 147>;
+                       dmas = <&dma 9>, <&dma 9>;
+                       dma-names = "rx", "tx";
+                       status = "disabled";
+               };
+
+               gic: interrupt-controller@01c81000 {
+                       compatible = "arm,cortex-a7-gic", "arm,cortex-a15-gic";
+                       reg = <0x01c81000 0x1000>,
+                             <0x01c82000 0x1000>,
+                             <0x01c84000 0x2000>,
+                             <0x01c86000 0x2000>;
+                       interrupt-controller;
+                       #interrupt-cells = <3>;
+                       interrupts = <GIC_PPI 9 (GIC_CPU_MASK_SIMPLE(4) | IRQ_TYPE_LEVEL_HIGH)>;
+               };
+
+               rtc: rtc@01f00000 {
+                       compatible = "allwinner,sun6i-a31-rtc";
+                       reg = <0x01f00000 0x54>;
+                       interrupts = <GIC_SPI 40 IRQ_TYPE_LEVEL_HIGH>,
+                                    <GIC_SPI 41 IRQ_TYPE_LEVEL_HIGH>;
+               };
+       };
+};
index 479893e47ea1609dd1822852930732d6dfdf3a60..a7da6b5cfde64e23f59b9e3e348bf375716bf5c2 100644 (file)
@@ -98,4 +98,7 @@
 
 #define NUM_CRYSTAL_FREQ                       0x4
 
+/* EDMA3 Base Address */
+#define EDMA3_BASE                             0x49000000
+
 #endif /* __AM43XX_HARDWARE_AM43XX_H */
index 845ba4f6064033d42d6f76af351a0cc06ac71a30..d76514e4cb7f809002daf4429d89f6b2a687119b 100644 (file)
@@ -27,7 +27,6 @@
 #endif
 
 #if defined(CONFIG_SYS_NS16550_SERIAL)
-#define CONFIG_SYS_NS16550
 
 #define CONFIG_SYS_NS16550_REG_SIZE    -4
 #define CONFIG_SYS_NS16550_CLK         get_serial_clock()
index 9b7b90cfc6168dcbbb031e241b98355b73165308..09337a1deaf9b4670c8f0f7406c5a350f5423c13 100644 (file)
@@ -201,6 +201,7 @@ struct sunxi_ccm_reg {
 #define CCM_PLL6_CTRL_N_MASK           (0x1f << CCM_PLL6_CTRL_N_SHIFT)
 #define CCM_PLL6_CTRL_K_SHIFT          4
 #define CCM_PLL6_CTRL_K_MASK           (0x3 << CCM_PLL6_CTRL_K_SHIFT)
+#define CCM_PLL6_CTRL_LOCK             (1 << 28)
 
 #define CCM_MIPI_PLL_CTRL_M_SHIFT      0
 #define CCM_MIPI_PLL_CTRL_M_MASK       (0xf << CCM_MIPI_PLL_CTRL_M_SHIFT)
@@ -219,7 +220,11 @@ struct sunxi_ccm_reg {
 #define CCM_PLL11_CTRL_UPD             (0x1 << 30)
 #define CCM_PLL11_CTRL_EN              (0x1 << 31)
 
-#define AHB1_ABP1_DIV_DEFAULT          0x00002020
+#if defined CONFIG_MACH_SUN8I_H3
+#define AHB1_ABP1_DIV_DEFAULT          0x00003180 /* AHB1=PLL6/3,APB1=AHB1/2 */
+#else
+#define AHB1_ABP1_DIV_DEFAULT          0x00002020 /* AHB1=AXI/4, APB1=AHB1/2 */
+#endif
 
 #define AXI_GATE_OFFSET_DRAM           0
 
@@ -282,6 +287,9 @@ struct sunxi_ccm_reg {
 #define CCM_DRAMCLK_CFG_DIV_MASK       (0xf << 0)
 #define CCM_DRAMCLK_CFG_DIV0(x)                ((x - 1) << 8)
 #define CCM_DRAMCLK_CFG_DIV0_MASK      (0xf << 8)
+#define CCM_DRAMCLK_CFG_SRC_PLL5       (0x0 << 20)
+#define CCM_DRAMCLK_CFG_SRC_PLL6x2     (0x1 << 20)
+#define CCM_DRAMCLK_CFG_SRC_MASK       (0x3 << 20)
 #define CCM_DRAMCLK_CFG_UPD            (0x1 << 16)
 #define CCM_DRAMCLK_CFG_RST            (0x1 << 31)
 
index 273f80fe88c3255c6ab9928961ac133dd97da7d3..b3c16883ed8b96762dc22bcf622d10e02135e419 100644 (file)
@@ -22,6 +22,8 @@
 #include <asm/arch/dram_sun8i_a23.h>
 #elif defined(CONFIG_MACH_SUN8I_A33)
 #include <asm/arch/dram_sun8i_a33.h>
+#elif defined(CONFIG_MACH_SUN8I_H3)
+#include <asm/arch/dram_sun8i_h3.h>
 #else
 #include <asm/arch/dram_sun4i.h>
 #endif
diff --git a/arch/arm/include/asm/arch-sunxi/dram_sun8i_h3.h b/arch/arm/include/asm/arch-sunxi/dram_sun8i_h3.h
new file mode 100644 (file)
index 0000000..d0f2b8a
--- /dev/null
@@ -0,0 +1,185 @@
+/*
+ * sun8i H3 platform dram controller register and constant defines
+ *
+ * (C) Copyright 2007-2015 Allwinner Technology Co.
+ *                         Jerry Wang <wangflord@allwinnertech.com>
+ * (C) Copyright 2015      Vishnu Patekar <vishnupatekar0510@gmail.com>
+ * (C) Copyright 2014-2015 Hans de Goede <hdegoede@redhat.com>
+ * (C) Copyright 2015      Jens Kuske <jenskuske@gmail.com>
+ *
+ * SPDX-License-Identifier:    GPL-2.0+
+ */
+
+#ifndef _SUNXI_DRAM_SUN8I_H3_H
+#define _SUNXI_DRAM_SUN8I_H3_H
+
+struct sunxi_mctl_com_reg {
+       u32 cr;                 /* 0x00 control register */
+       u8 res0[0xc];           /* 0x04 */
+       u32 mcr[16][2];         /* 0x10 */
+       u32 bwcr;               /* 0x90 bandwidth control register */
+       u32 maer;               /* 0x94 master enable register */
+       u32 mapr;               /* 0x98 master priority register */
+       u32 mcgcr;              /* 0x9c */
+       u32 cpu_bwcr;           /* 0xa0 */
+       u32 gpu_bwcr;           /* 0xa4 */
+       u32 ve_bwcr;            /* 0xa8 */
+       u32 disp_bwcr;          /* 0xac */
+       u32 other_bwcr;         /* 0xb0 */
+       u32 total_bwcr;         /* 0xb4 */
+       u8 res1[0x8];           /* 0xb8 */
+       u32 swonr;              /* 0xc0 */
+       u32 swoffr;             /* 0xc4 */
+       u8 res2[0x8];           /* 0xc8 */
+       u32 cccr;               /* 0xd0 */
+       u8 res3[0x72c];         /* 0xd4 */
+       u32 protect;            /* 0x800 */
+};
+
+#define MCTL_CR_BL8            (0x4 << 20)
+
+#define MCTL_CR_1T             (0x1 << 19)
+#define MCTL_CR_2T             (0x0 << 19)
+
+#define MCTL_CR_LPDDR3         (0x7 << 16)
+#define MCTL_CR_LPDDR2         (0x6 << 16)
+#define MCTL_CR_DDR3           (0x3 << 16)
+#define MCTL_CR_DDR2           (0x2 << 16)
+
+#define MCTL_CR_SEQUENTIAL     (0x1 << 15)
+#define MCTL_CR_INTERLEAVED    (0x0 << 15)
+
+#define MCTL_CR_32BIT          (0x1 << 12)
+#define MCTL_CR_16BIT          (0x0 << 12)
+#define MCTL_CR_BUS_WIDTH(x)   ((x) == 32 ? MCTL_CR_32BIT : MCTL_CR_16BIT)
+
+#define MCTL_CR_PAGE_SIZE(x)   ((fls(x) - 4) << 8)
+#define MCTL_CR_ROW_BITS(x)    (((x) - 1) << 4)
+#define MCTL_CR_EIGHT_BANKS    (0x1 << 2)
+#define MCTL_CR_FOUR_BANKS     (0x0 << 2)
+#define MCTL_CR_DUAL_RANK      (0x1 << 0)
+#define MCTL_CR_SINGLE_RANK    (0x0 << 0)
+
+#define PROTECT_MAGIC          (0x94be6fa3)
+
+struct sunxi_mctl_ctl_reg {
+       u32 pir;                /* 0x00 PHY initialization register */
+       u32 pwrctl;             /* 0x04 */
+       u32 mrctrl;             /* 0x08 */
+       u32 clken;              /* 0x0c */
+       u32 pgsr[2];            /* 0x10 PHY general status registers */
+       u32 statr;              /* 0x18 */
+       u8 res1[0x14];          /* 0x1c */
+       u32 mr[4];              /* 0x30 mode registers */
+       u32 pllgcr;             /* 0x40 */
+       u32 ptr[5];             /* 0x44 PHY timing registers */
+       u32 dramtmg[9];         /* 0x58 DRAM timing registers */
+       u32 odtcfg;             /* 0x7c */
+       u32 pitmg[2];           /* 0x80 PHY interface timing registers */
+       u8 res2[0x4];           /* 0x88 */
+       u32 rfshctl0;           /* 0x8c */
+       u32 rfshtmg;            /* 0x90 refresh timing */
+       u32 rfshctl1;           /* 0x94 */
+       u32 pwrtmg;             /* 0x98 */
+       u8  res3[0x20];         /* 0x9c */
+       u32 dqsgmr;             /* 0xbc */
+       u32 dtcr;               /* 0xc0 */
+       u32 dtar[4];            /* 0xc4 */
+       u32 dtdr[2];            /* 0xd4 */
+       u32 dtmr[2];            /* 0xdc */
+       u32 dtbmr;              /* 0xe4 */
+       u32 catr[2];            /* 0xe8 */
+       u32 dtedr[2];           /* 0xf0 */
+       u8 res4[0x8];           /* 0xf8 */
+       u32 pgcr[4];            /* 0x100 PHY general configuration registers */
+       u32 iovcr[2];           /* 0x110 */
+       u32 dqsdr;              /* 0x118 */
+       u32 dxccr;              /* 0x11c */
+       u32 odtmap;             /* 0x120 */
+       u32 zqctl[2];           /* 0x124 */
+       u8 res6[0x14];          /* 0x12c */
+       u32 zqcr;               /* 0x140 ZQ control register */
+       u32 zqsr;               /* 0x144 ZQ status register */
+       u32 zqdr[3];            /* 0x148 ZQ data registers */
+       u8 res7[0x6c];          /* 0x154 */
+       u32 sched;              /* 0x1c0 */
+       u32 perfhpr[2];         /* 0x1c4 */
+       u32 perflpr[2];         /* 0x1cc */
+       u32 perfwr[2];          /* 0x1d4 */
+       u8 res8[0x2c];          /* 0x1dc */
+       u32 aciocr;             /* 0x208 */
+       u8 res9[0xf4];          /* 0x20c */
+       struct {                /* 0x300 DATX8 modules*/
+               u32 mdlr;               /* 0x00 */
+               u32 lcdlr[3];           /* 0x04 */
+               u32 iocr[11];           /* 0x10 IO configuration register */
+               u32 bdlr6;              /* 0x3c */
+               u32 gtr;                /* 0x40 */
+               u32 gcr;                /* 0x44 */
+               u32 gsr[3];             /* 0x48 */
+               u8 res0[0x2c];          /* 0x54 */
+       } datx[4];
+       u8 res10[0x388];        /* 0x500 */
+       u32 upd2;               /* 0x888 */
+};
+
+#define PTR3_TDINIT1(x)                ((x) << 20)
+#define PTR3_TDINIT0(x)                ((x) <<  0)
+
+#define PTR4_TDINIT3(x)                ((x) << 20)
+#define PTR4_TDINIT2(x)                ((x) <<  0)
+
+#define DRAMTMG0_TWTP(x)       ((x) << 24)
+#define DRAMTMG0_TFAW(x)       ((x) << 16)
+#define DRAMTMG0_TRAS_MAX(x)   ((x) <<  8)
+#define DRAMTMG0_TRAS(x)       ((x) <<  0)
+
+#define DRAMTMG1_TXP(x)                ((x) << 16)
+#define DRAMTMG1_TRTP(x)       ((x) <<  8)
+#define DRAMTMG1_TRC(x)                ((x) <<  0)
+
+#define DRAMTMG2_TCWL(x)       ((x) << 24)
+#define DRAMTMG2_TCL(x)                ((x) << 16)
+#define DRAMTMG2_TRD2WR(x)     ((x) <<  8)
+#define DRAMTMG2_TWR2RD(x)     ((x) <<  0)
+
+#define DRAMTMG3_TMRW(x)       ((x) << 16)
+#define DRAMTMG3_TMRD(x)       ((x) << 12)
+#define DRAMTMG3_TMOD(x)       ((x) <<  0)
+
+#define DRAMTMG4_TRCD(x)       ((x) << 24)
+#define DRAMTMG4_TCCD(x)       ((x) << 16)
+#define DRAMTMG4_TRRD(x)       ((x) <<  8)
+#define DRAMTMG4_TRP(x)                ((x) <<  0)
+
+#define DRAMTMG5_TCKSRX(x)     ((x) << 24)
+#define DRAMTMG5_TCKSRE(x)     ((x) << 16)
+#define DRAMTMG5_TCKESR(x)     ((x) <<  8)
+#define DRAMTMG5_TCKE(x)       ((x) <<  0)
+
+#define RFSHTMG_TREFI(x)       ((x) << 16)
+#define RFSHTMG_TRFC(x)                ((x) <<  0)
+
+#define PIR_CLRSR      (0x1 << 27)     /* clear status registers */
+#define PIR_QSGATE     (0x1 << 10)     /* Read DQS gate training */
+#define PIR_DRAMINIT   (0x1 << 8)      /* DRAM initialization */
+#define PIR_DRAMRST    (0x1 << 7)      /* DRAM reset */
+#define PIR_PHYRST     (0x1 << 6)      /* PHY reset */
+#define PIR_DCAL       (0x1 << 5)      /* DDL calibration */
+#define PIR_PLLINIT    (0x1 << 4)      /* PLL initialization */
+#define PIR_ZCAL       (0x1 << 1)      /* ZQ calibration */
+#define PIR_INIT       (0x1 << 0)      /* PHY initialization trigger */
+
+#define PGSR_INIT_DONE (0x1 << 0)      /* PHY init done */
+
+#define ZQCR_PWRDOWN   (0x1 << 31)     /* ZQ power down */
+
+#define DATX_IOCR_DQ(x)        (x)             /* DQ0-7 IOCR index */
+#define DATX_IOCR_DM   (8)             /* DM IOCR index */
+#define DATX_IOCR_DQS  (9)             /* DQS IOCR index */
+#define DATX_IOCR_DQSN (10)            /* DQSN IOCR index */
+
+#define DATX_IOCR_WRITE_DELAY(x)       ((x) << 8)
+#define DATX_IOCR_READ_DELAY(x)                ((x) << 0)
+
+#endif /* _SUNXI_DRAM_SUN8I_H3_H */
index 8382101558c8ddaffabdff1e0d602d6e5eaba00d..7af5e295dc31f83bad7fd2b62616f7875340bd2a 100644 (file)
@@ -147,6 +147,7 @@ enum sunxi_gpio_number {
 #define SUN7I_GPA_GMAC         5
 #define SUN6I_GPA_SDC2         5
 #define SUN6I_GPA_SDC3         4
+#define SUN8I_H3_GPA_UART0     2
 
 #define SUN4I_GPB_TWI0         2
 #define SUN4I_GPB_TWI1         2
index f7737bfb228a7565234608a11b5fa0603631d0d2..9205b1e164b7565e8c9c21b6374d466414ecd4ed 100644 (file)
@@ -49,6 +49,9 @@ config TARGET_GOFLEXHOME
 config TARGET_NAS220
        bool "BlackArmor NAS220"
 
+config TARGET_NSA310S
+       bool "Zyxel NSA310S"
+
 endchoice
 
 config SYS_SOC
@@ -69,5 +72,6 @@ source "board/raidsonic/ib62x0/Kconfig"
 source "board/Seagate/dockstar/Kconfig"
 source "board/Seagate/goflexhome/Kconfig"
 source "board/Seagate/nas220/Kconfig"
+source "board/zyxel/nsa310s/Kconfig"
 
 endif
index 9dde710d7a1ab35afd5bfa4aaf7f8833af5f8b3f..1d49cab7fd89e431845357fbfc05d0c25fb5cebb 100644 (file)
@@ -70,6 +70,8 @@
 #define CONFIG_PHYLIB
 #define CONFIG_ENV_OVERWRITE   /* ethaddr can be reprogrammed */
 #define CONFIG_PHY_GIGE                /* GbE speed/duplex detect */
+#define CONFIG_ARP_TIMEOUT     200
+#define CONFIG_NET_RETRY_COUNT 50
 #endif /* CONFIG_CMD_NET */
 
 /*
index ab50f4e1f8a0c851a4d39f13093d7152818200e6..3f7dc8e19bd333ac57223dc1a4c16d2dc324f634 100644 (file)
@@ -33,9 +33,6 @@ config DM_I2C
 config DM_GPIO
        default y
 
-config ROCKCHIP_SERIAL
-       default y
-
 source "arch/arm/mach-rockchip/rk3288/Kconfig"
 
 endif
index a5b7e0d22d29e034e5ee42f7dca52a3dc23911ed..de2454e691d23a83a38df01cfb6ca7f51302806f 100644 (file)
@@ -12,6 +12,7 @@ config TEGRA_ARMV7_COMMON
        select DM_I2C
        select DM_SPI
        select DM_GPIO
+       select DM_KEYBOARD
 
 choice
        prompt "Tegra SoC select"
index b00e4b5c1e2531d45ccdde0d69cfaf8f6ce3f21c..8c8927d5919ab54c59b5b2d2495dffbbbd631c73 100644 (file)
@@ -6,6 +6,8 @@
  */
 
 #include <common.h>
+#include <dm.h>
+#include <ns16550.h>
 #include <spl.h>
 #include <asm/io.h>
 #include <asm/arch/clock.h>
@@ -212,6 +214,18 @@ void board_init_uart_f(void)
        setup_uarts(uart_ids);
 }
 
+#if CONFIG_IS_ENABLED(DM_SERIAL) && !CONFIG_IS_ENABLED(OF_CONTROL)
+static struct ns16550_platdata ns16550_com1_pdata = {
+       .base = CONFIG_SYS_NS16550_COM1,
+       .reg_shift = 2,
+       .clock = CONFIG_SYS_NS16550_CLK,
+};
+
+U_BOOT_DEVICE(ns16550_com1) = {
+       "ns16550_serial", &ns16550_com1_pdata
+};
+#endif
+
 #if !defined(CONFIG_SYS_DCACHE_OFF) && !defined(CONFIG_ARM64)
 void enable_caches(void)
 {
index 412e0198af09ac8d5746dd42e547c9816f6bf2a1..f9f909791e94d8f29fdddd21e5c4419b565d015b 100644 (file)
@@ -9,6 +9,7 @@
 #include <config.h>
 #include <common.h>
 #include <command.h>
+#include <console.h>
 
 #include <asm/blackfin.h>
 #include <asm/mach-common/bits/mpu.h>
index d24f2cf9d36caf8616fbdeb04882bfb1f914752d..2cab2ac62b9aa251030adb749d11cbe219fce5b9 100644 (file)
 #include <asm-generic/bitops/__ffs.h>
 
 #ifdef __KERNEL__
-/*
- * Function prototypes to keep gcc -Wall happy
- */
-
 /*
  * The __ functions are not atomic
  */
 
-extern void set_bit(int nr, volatile void * addr);
-extern void __set_bit(int nr, volatile void * addr);
-
-extern void clear_bit(int nr, volatile void * addr);
-#define __clear_bit(nr, addr) clear_bit(nr, addr)
-#define PLATFORM__CLEAR_BIT
-
-extern void change_bit(int nr, volatile void * addr);
-extern void __change_bit(int nr, volatile void * addr);
-extern int test_and_set_bit(int nr, volatile void * addr);
-extern int __test_and_set_bit(int nr, volatile void * addr);
-extern int test_and_clear_bit(int nr, volatile void * addr);
-extern int __test_and_clear_bit(int nr, volatile void * addr);
-extern int test_and_change_bit(int nr, volatile void * addr);
-extern int __test_and_change_bit(int nr, volatile void * addr);
-extern int __constant_test_bit(int nr, const volatile void * addr);
-extern int __test_bit(int nr, volatile void * addr);
-extern int find_first_zero_bit(void * addr, unsigned size);
-extern int find_next_zero_bit (void * addr, int size, int offset);
-
 /*
  * ffz = Find First Zero in word. Undefined if no zero exists,
  * so code should check against ~0UL first..
  */
-extern __inline__ unsigned long ffz(unsigned long word)
+static inline unsigned long ffz(unsigned long word)
 {
        unsigned long result = 0;
 
@@ -57,7 +33,7 @@ extern __inline__ unsigned long ffz(unsigned long word)
 }
 
 
-extern __inline__ void set_bit(int nr, volatile void * addr)
+static inline void set_bit(int nr, volatile void *addr)
 {
        int     * a = (int *) addr;
        int     mask;
@@ -70,7 +46,7 @@ extern __inline__ void set_bit(int nr, volatile void * addr)
        restore_flags(flags);
 }
 
-extern __inline__ void __set_bit(int nr, volatile void * addr)
+static inline void __set_bit(int nr, volatile void *addr)
 {
        int     * a = (int *) addr;
        int     mask;
@@ -87,7 +63,7 @@ extern __inline__ void __set_bit(int nr, volatile void * addr)
 #define smp_mb__before_clear_bit()     barrier()
 #define smp_mb__after_clear_bit()      barrier()
 
-extern __inline__ void clear_bit(int nr, volatile void * addr)
+static inline void clear_bit(int nr, volatile void *addr)
 {
        int     * a = (int *) addr;
        int     mask;
@@ -100,7 +76,10 @@ extern __inline__ void clear_bit(int nr, volatile void * addr)
        restore_flags(flags);
 }
 
-extern __inline__ void change_bit(int nr, volatile void * addr)
+#define __clear_bit(nr, addr) clear_bit(nr, addr)
+#define PLATFORM__CLEAR_BIT
+
+static inline void change_bit(int nr, volatile void *addr)
 {
        int mask;
        unsigned long flags;
@@ -113,7 +92,7 @@ extern __inline__ void change_bit(int nr, volatile void * addr)
        restore_flags(flags);
 }
 
-extern __inline__ void __change_bit(int nr, volatile void * addr)
+static inline void __change_bit(int nr, volatile void *addr)
 {
        int mask;
        unsigned long *ADDR = (unsigned long *) addr;
@@ -123,7 +102,7 @@ extern __inline__ void __change_bit(int nr, volatile void * addr)
        *ADDR ^= mask;
 }
 
-extern __inline__ int test_and_set_bit(int nr, volatile void * addr)
+static inline int test_and_set_bit(int nr, volatile void *addr)
 {
        int     mask, retval;
        volatile unsigned int *a = (volatile unsigned int *) addr;
@@ -139,7 +118,7 @@ extern __inline__ int test_and_set_bit(int nr, volatile void * addr)
        return retval;
 }
 
-extern __inline__ int __test_and_set_bit(int nr, volatile void * addr)
+static inline int __test_and_set_bit(int nr, volatile void *addr)
 {
        int     mask, retval;
        volatile unsigned int *a = (volatile unsigned int *) addr;
@@ -151,7 +130,7 @@ extern __inline__ int __test_and_set_bit(int nr, volatile void * addr)
        return retval;
 }
 
-extern __inline__ int test_and_clear_bit(int nr, volatile void * addr)
+static inline int test_and_clear_bit(int nr, volatile void *addr)
 {
        int     mask, retval;
        volatile unsigned int *a = (volatile unsigned int *) addr;
@@ -167,7 +146,7 @@ extern __inline__ int test_and_clear_bit(int nr, volatile void * addr)
        return retval;
 }
 
-extern __inline__ int __test_and_clear_bit(int nr, volatile void * addr)
+static inline int __test_and_clear_bit(int nr, volatile void *addr)
 {
        int     mask, retval;
        volatile unsigned int *a = (volatile unsigned int *) addr;
@@ -179,7 +158,7 @@ extern __inline__ int __test_and_clear_bit(int nr, volatile void * addr)
        return retval;
 }
 
-extern __inline__ int test_and_change_bit(int nr, volatile void * addr)
+static inline int test_and_change_bit(int nr, volatile void *addr)
 {
        int     mask, retval;
        volatile unsigned int *a = (volatile unsigned int *) addr;
@@ -195,7 +174,7 @@ extern __inline__ int test_and_change_bit(int nr, volatile void * addr)
        return retval;
 }
 
-extern __inline__ int __test_and_change_bit(int nr, volatile void * addr)
+static inline int __test_and_change_bit(int nr, volatile void *addr)
 {
        int     mask, retval;
        volatile unsigned int *a = (volatile unsigned int *) addr;
@@ -210,12 +189,12 @@ extern __inline__ int __test_and_change_bit(int nr, volatile void * addr)
 /*
  * This routine doesn't need to be atomic.
  */
-extern __inline__ int __constant_test_bit(int nr, const volatile void * addr)
+static inline int __constant_test_bit(int nr, const volatile void *addr)
 {
        return ((1UL << (nr & 31)) & (((const volatile unsigned int *) addr)[nr >> 5])) != 0;
 }
 
-extern __inline__ int __test_bit(int nr, volatile void * addr)
+static inline int __test_bit(int nr, volatile void *addr)
 {
        int     * a = (int *) addr;
        int     mask;
@@ -233,7 +212,7 @@ extern __inline__ int __test_bit(int nr, volatile void * addr)
 #define find_first_zero_bit(addr, size) \
        find_next_zero_bit((addr), (size), 0)
 
-extern __inline__ int find_next_zero_bit (void * addr, int size, int offset)
+static inline int find_next_zero_bit(void *addr, int size, int offset)
 {
        unsigned long *p = ((unsigned long *) addr) + (offset >> 5);
        unsigned long result = offset & ~31UL;
@@ -279,7 +258,7 @@ found_middle:
 #define hweight8(x) generic_hweight8(x)
 
 
-extern __inline__ int ext2_set_bit(int nr, volatile void * addr)
+static inline int ext2_set_bit(int nr, volatile void *addr)
 {
        int             mask, retval;
        unsigned long   flags;
@@ -294,7 +273,7 @@ extern __inline__ int ext2_set_bit(int nr, volatile void * addr)
        return retval;
 }
 
-extern __inline__ int ext2_clear_bit(int nr, volatile void * addr)
+static inline int ext2_clear_bit(int nr, volatile void *addr)
 {
        int             mask, retval;
        unsigned long   flags;
@@ -309,7 +288,7 @@ extern __inline__ int ext2_clear_bit(int nr, volatile void * addr)
        return retval;
 }
 
-extern __inline__ int ext2_test_bit(int nr, const volatile void * addr)
+static inline int ext2_test_bit(int nr, const volatile void *addr)
 {
        int                     mask;
        const volatile unsigned char    *ADDR = (const unsigned char *) addr;
index 0297a115908ae527bd33adf778a6cb49617c3e93..3107748d3bdbf48c5428c28d3b0b53d133b03352 100644 (file)
@@ -131,7 +131,7 @@ extern void *switch_thread (struct thread_struct *last,
   ((__typeof__ (*(ptr)))__xchg ((unsigned long)(with), (ptr), sizeof (*(ptr))))
 #define tas(ptr) (xchg ((ptr), 1))
 
-extern inline unsigned long __xchg (unsigned long with,
+static inline unsigned long __xchg(unsigned long with,
                                    __volatile__ void *ptr, int size)
 {
        unsigned long tmp, flags;
index e89dbb23317006e4949c8c5f629bfe05239a7224..05eac30d67574c0e66dae3e19f5bf1037740fed6 100644 (file)
 
        chosen {
                bootargs = "debug console=ttyS0,115200";
-               stdout-path = &uart_0;
+               stdout-path = &a_16550_uart_0;
        };
 };
index 30ea3de9cffb667823b7da65db0806c88de8ee91..9bb395e6a2621d317439fe5be68ebb4247874af2 100644 (file)
@@ -24,6 +24,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <malloc.h>
 #include <asm/cpm_8260.h>
 #include <mpc8260.h>
index 8658ebdadd6a9afa2576b5063428481716cab08a..a0de101329140e6ae5fb4ab465485cf046d34687 100644 (file)
@@ -9,6 +9,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 
 #if defined(CONFIG_HARD_I2C)
 
index 6146de387528259168a9323fd5f84d56b3476203..3dff4ab4ac426245733072b74fd321bb5291fed4 100644 (file)
@@ -12,6 +12,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 
 #ifdef CONFIG_HARD_I2C
 
index 65496d0d90a9a5c9a34e660257fca8bf6200ccae..bb23756d79aea72b5fe59ac63952a2dbbe37675c 100644 (file)
 /* All PPC boards must swap IDE bytes */
 #define CONFIG_IDE_SWAP_IO
 
+#if defined(CONFIG_DM_SERIAL)
+/*
+ * TODO: Convert this to a clock driver exists that can give us the UART
+ * clock here.
+ */
+#define CONFIG_SYS_NS16550_CLK         get_serial_clock()
+#endif
+
 #endif /* _ASM_CONFIG_H_ */
index f078c9e504de107b19e4fb817d3600550ce8053b..25e316cf9f533159119b031509cd945c52f5da68 100644 (file)
@@ -17,4 +17,7 @@ config PCI
          used on some devices to allow the CPU to communicate with its
          peripherals.
 
+config DM_KEYBOARD
+       default y
+
 endmenu
index 3a7f5a004b0fa341dfec557f20fa087d41674327..196f3e1191e166c821378bc05dcecfb20cb25953 100644 (file)
@@ -37,7 +37,10 @@ void sandbox_exit(void)
 /* delay x useconds */
 void __udelay(unsigned long usec)
 {
-       os_usleep(usec);
+       struct sandbox_state *state = state_get_current();
+
+       if (!state->skip_delays)
+               os_usleep(usec);
 }
 
 int cleanup_before_linux(void)
index 4c38fab443f68ab04492d67d9e5a34ed570fb337..0dda4fc84ea1a322dcca9e286c8e54125db214be 100644 (file)
@@ -257,6 +257,14 @@ static int sandbox_cmdline_cb_terminal(struct sandbox_state *state,
 SANDBOX_CMDLINE_OPT_SHORT(terminal, 't', 1,
                          "Set terminal to raw/cooked mode");
 
+static int sandbox_cmdline_cb_verbose(struct sandbox_state *state,
+                                     const char *arg)
+{
+       state->show_test_output = true;
+       return 0;
+}
+SANDBOX_CMDLINE_OPT_SHORT(verbose, 'v', 0, "Show test output");
+
 int main(int argc, char *argv[])
 {
        struct sandbox_state *state;
index 7e5d03e8460bf945b40577bd40c4b9cb3fd85fbf..d2a7dc9b450f8845811c9575a5731b0557c959c0 100644 (file)
@@ -337,6 +337,20 @@ struct sandbox_state *state_get_current(void)
        return state;
 }
 
+void state_set_skip_delays(bool skip_delays)
+{
+       struct sandbox_state *state = state_get_current();
+
+       state->skip_delays = skip_delays;
+}
+
+bool state_get_skip_delays(void)
+{
+       struct sandbox_state *state = state_get_current();
+
+       return state->skip_delays;
+}
+
 int state_init(void)
 {
        state = &main_state;
index 08f72aceda530a9a357dd63a9b22bd3c23fef434..720ef932ff71d55b798d3104a8f11f0d240ae59f 100644 (file)
                sides = <4>;
        };
 
+       timer {
+               compatible = "sandbox,timer";
+       };
+
        tpm {
                compatible = "google,sandbox-tpm";
        };
index e2c4971d74025835d6a8217b5916bd7b81752210..b6d9a15da4a78782da6c5ebf95d6d37e1041c065 100644 (file)
                                compatible = "sandbox,usb-hub";
                                #address-cells = <1>;
                                #size-cells = <0>;
-                               flash-stick {
+                               flash-stick@0 {
                                        reg = <0>;
                                        compatible = "sandbox,usb-flash";
                                        sandbox,filepath = "testflash.bin";
                                };
 
+                               flash-stick@1 {
+                                       reg = <1>;
+                                       compatible = "sandbox,usb-flash";
+                                       sandbox,filepath = "testflash1.bin";
+                               };
+
+                               flash-stick@2 {
+                                       reg = <2>;
+                                       compatible = "sandbox,usb-flash";
+                                       sandbox,filepath = "testflash2.bin";
+                               };
+
+                               keyb@3 {
+                                       reg = <3>;
+                                       compatible = "sandbox,usb-keyb";
+                               };
+
                        };
                };
        };
index 2bd28f6b1c1739b5857407d1e055676698330435..11856c2fede654e6a26ce3d64e563702aeaaa9b0 100644 (file)
@@ -63,6 +63,8 @@ struct sandbox_state {
        enum reset_t last_reset;        /* Last reset type */
        bool reset_allowed[RESET_COUNT];        /* Allowed reset types */
        enum state_terminal_raw term_raw;       /* Terminal raw/cooked */
+       bool skip_delays;               /* Ignore any time delays (for test) */
+       bool show_test_output;          /* Don't suppress stdout in tests */
 
        /* Pointer to information for each SPI bus/cs */
        struct sandbox_spi_info spi[CONFIG_SANDBOX_SPI_MAX_BUS]
@@ -184,6 +186,24 @@ int sandbox_write_state(struct sandbox_state *state, const char *fname);
  */
 int state_setprop(int node, const char *prop_name, const void *data, int size);
 
+/**
+ * Control skipping of time delays
+ *
+ * Some tests have unnecessay time delays (e.g. USB). Allow these to be
+ * skipped to speed up testing
+ *
+ * @param skip_delays  true to skip delays from now on, false to honour delay
+ *                     requests
+ */
+void state_set_skip_delays(bool skip_delays);
+
+/**
+ * See if delays should be skipped
+ *
+ * @return true if delays should be skipped, false if they should be honoured
+ */
+bool state_get_skip_delays(void);
+
 /**
  * Initialize the test system state
  */
index d3c7851bb50c427f2296face2a38e1701d35f842..224b0ebaf9551e8a35d089a4d2a9eb74634b9762 100644 (file)
@@ -86,4 +86,6 @@ long sandbox_i2c_rtc_set_offset(struct udevice *dev, bool use_system_time,
  */
 long sandbox_i2c_rtc_get_set_base_time(struct udevice *dev, long base_time);
 
+int sandbox_usb_keyb_add_string(struct udevice *dev, const char *str);
+
 #endif
index 6dad3c7dbfefcd400daabd34714062ed94d96516..69cdca3744f9cd72f2bdfa449e7b0ed63a65d264 100644 (file)
@@ -7,6 +7,7 @@
 
 #include <common.h>
 #include <command.h>
+#include <console.h>
 #include <malloc.h>
 #include <stdio_dev.h>
 #include <version.h>
index d2ac6bcaca96ab4cec8641e31bdd4baaf7c7dc83..10475d10827922f1dc76fe5c9c8ff7f56807877f 100644 (file)
@@ -11,6 +11,7 @@
 
 #include <common.h>
 #include <command.h>
+#include <console.h>
 #include <malloc.h>
 #include <stdio_dev.h>
 #include <config.h>
index 8914be34e687fb7d733021e5a7fcb138b7566f47..14ab98ef6211217307d47a83fbd357b6efe0a04a 100644 (file)
@@ -435,6 +435,12 @@ config I8254_TIMER
          Intel 8254 timer contains three counters which have fixed uses.
          Include this to have U-Boot set up the timer correctly.
 
+config I8042_KEYB
+       default y
+
+config DM_KEYBOARD
+       default y
+
 source "arch/x86/lib/efi/Kconfig"
 
 endmenu
index 52d0999f19f299a81b8705a0f6e32651159a6e08..aa863878fec9a7d8894a65e194cc91b70c68af14 100644 (file)
@@ -10,6 +10,7 @@
 #include <dt-bindings/interrupt-router/intel-irq.h>
 
 /include/ "skeleton.dtsi"
+/include/ "keyboard.dtsi"
 /include/ "serial.dtsi"
 /include/ "rtc.dtsi"
 
index f27263a54749acf3c17dceb9b684f56632e560ee..7870bb172bf35521ad26a9e5c60b5c50dd890b2a 100644 (file)
@@ -1,6 +1,7 @@
 /dts-v1/;
 
 /include/ "skeleton.dtsi"
+/include/ "keyboard.dtsi"
 /include/ "serial.dtsi"
 /include/ "rtc.dtsi"
 
                stdout-path = "/serial";
        };
 
+       keyboard {
+               intel,duplicate-por;
+       };
+
        spd {
                compatible = "memory-spd";
                #address-cells = <1>;
index 3e354c4093785b16f26d19d4d3220947986f18b7..eb8421cc79e7ee4c51270f422596750c2411febd 100644 (file)
@@ -10,6 +10,7 @@
 
 /include/ "skeleton.dtsi"
 /include/ "serial.dtsi"
+/include/ "keyboard.dtsi"
 /include/ "rtc.dtsi"
 
 / {
diff --git a/arch/x86/dts/keyboard.dtsi b/arch/x86/dts/keyboard.dtsi
new file mode 100644 (file)
index 0000000..000751b
--- /dev/null
@@ -0,0 +1,5 @@
+/ {
+       keyboard {
+               compatible = "intel,i8042-keyboard";
+       };
+};
index fc74cd0f01415fa6fe04b781cd90942caaef9daa..8da7e5239599b590ca703439ed8e907d504fd8fb 100644 (file)
@@ -10,6 +10,7 @@
 
 /include/ "skeleton.dtsi"
 /include/ "serial.dtsi"
+/include/ "keyboard.dtsi"
 /include/ "rtc.dtsi"
 
 / {
index 7f16971783bf647670bdc71c9400dde2cd178ba1..df30c89fabf8e9e389a416b49eb1d8d733337c1d 100644 (file)
@@ -20,6 +20,7 @@
 
 /include/ "skeleton.dtsi"
 /include/ "serial.dtsi"
+/include/ "keyboard.dtsi"
 /include/ "rtc.dtsi"
 
 / {
index 6865eed9dd1b6c9e03afe7a5a4e8e2f8ddb4af55..54c3faf45147d581e5010850aebffe03a79d30e3 100644 (file)
@@ -1,6 +1,6 @@
 / {
        serial: serial {
-               compatible = "x86-uart";
+               compatible = "ns16550";
                reg = <0x3f8 8>;
                reg-shift = <0>;
                clock-frequency = <1843200>;
index 236b0d0de5748686bd61c1ffb237d15a3c2dad56..9315bb740120b177fed8a9285531fed1126be17c 100644 (file)
@@ -9,6 +9,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <ns16550.h>
 #include <malloc.h>
 #include <mmc.h>
index c1724bf03480819f256f3c7003a6d864c1be887a..cc78284e2bf21c85735ea4ddeedf0977f4967483 100644 (file)
@@ -10,6 +10,7 @@
 #include <common.h>
 #include <cli.h>
 #include <command.h>
+#include <console.h>
 #include "yucca.h"
 #include <i2c.h>
 #include <asm/byteorder.h>
index a35db401b684347bd9133a9d8aa018a6159e82f5..b4391a71249a0af2a19694acce3ddb969b2346d3 100644 (file)
@@ -5,4 +5,5 @@
 # SPDX-License-Identifier:     GPL-2.0+
 #
 
-obj-y  := vexpress64.o pcie.o
+obj-y  := vexpress64.o
+obj-$(CONFIG_TARGET_VEXPRESS64_JUNO)   += pcie.o
index 7b999e8ef40bad25ff0262f5b7f869b82517eaad..b3fb09ca67c5209afdc816f3ff147e2e6c08e4e1 100644 (file)
@@ -87,7 +87,7 @@ void xr3pci_set_atr_entry(unsigned long base, unsigned long src_addr,
        writel((u32)(trsl_addr >> 32), base + XR3PCI_ATR_TRSL_ADDR_HIGH);
        writel(trsl_param, base + XR3PCI_ATR_TRSL_PARAM);
 
-       printf("ATR entry: 0x%010lx %s 0x%010lx [0x%010llx] (param: 0x%06x)\n",
+       debug("ATR entry: 0x%010lx %s 0x%010lx [0x%010llx] (param: 0x%06x)\n",
               src_addr, (trsl_param & 0x400000) ? "<-" : "->", trsl_addr,
               ((u64)1) << window_size, trsl_param);
 }
@@ -191,7 +191,5 @@ void xr3pci_init(void)
 
 void vexpress64_pcie_init(void)
 {
-#ifdef CONFIG_TARGET_VEXPRESS64_JUNO
        xr3pci_init();
-#endif
 }
index f4e80840b2ec9a086914b300590e2e54aaa1f083..6efc8c183a625648accf7b7f0ada8b0b5e0bf1ac 100644 (file)
@@ -28,6 +28,13 @@ U_BOOT_DEVICE(vexpress_serials) = {
        .platdata = &serial_platdata,
 };
 
+/* This function gets replaced by platforms supporting PCIe.
+ * The replacement function, eg. on Juno, initialises the PCIe bus.
+ */
+__weak void vexpress64_pcie_init(void)
+{
+}
+
 int board_init(void)
 {
        vexpress64_pcie_init();
@@ -44,8 +51,10 @@ void dram_init_banksize(void)
 {
        gd->bd->bi_dram[0].start = PHYS_SDRAM_1;
        gd->bd->bi_dram[0].size = PHYS_SDRAM_1_SIZE;
+#ifdef PHYS_SDRAM_2
        gd->bd->bi_dram[1].start = PHYS_SDRAM_2;
        gd->bd->bi_dram[1].size = PHYS_SDRAM_2_SIZE;
+#endif
 }
 
 /*
index d1110dfd6e7b7b63c958d605832b6f59abc27c54..53e00728c3d8894904847094c5bfc369bab22abf 100644 (file)
@@ -15,6 +15,7 @@
 /* Altera/Xilinx FPGA configuration support for the ASTRO "URMEL" board */
 
 #include <common.h>
+#include <console.h>
 #include <watchdog.h>
 #include <altera.h>
 #include <ACEX1K.h>
index c0b8337ddb669ad4a840ada3050f6f4cac800f4e..4fac6880f16508ffb537668253a4f9725a51fa09 100644 (file)
@@ -6,6 +6,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 
 #define PHYS_FLASH_1 CONFIG_SYS_FLASH_BASE
 #define FLASH_BANK_SIZE 0x200000
index 1c74a0f7d0c3c87daed0bd918ace216d54a608bc..340a009c895af92133c764dd13c6c84a34666260 100644 (file)
@@ -77,17 +77,13 @@ static int handle_eeprom_v1(struct tricorder_eeprom *eeprom)
 
 int tricorder_get_eeprom(int addr, struct tricorder_eeprom *eeprom)
 {
-#ifdef CONFIG_SYS_EEPROM_BUS_NUM
        unsigned int bus = i2c_get_bus_num();
        i2c_set_bus_num(CONFIG_SYS_EEPROM_BUS_NUM);
-#endif
 
        memset(eeprom, 0, TRICORDER_EEPROM_SIZE);
 
        i2c_read(addr, 0, 2, (unsigned char *)eeprom, TRICORDER_EEPROM_SIZE);
-#ifdef CONFIG_SYS_EEPROM_BUS_NUM
        i2c_set_bus_num(bus);
-#endif
 
        if (be32_to_cpu(eeprom->magic) != TRICORDER_EEPROM_MAGIC) {
                warn_wrong_value("magic", TRICORDER_EEPROM_MAGIC,
@@ -138,9 +134,6 @@ int tricorder_eeprom_write(unsigned devaddr, const char *name,
        int ret;
        unsigned char *p;
        int i;
-#ifdef CONFIG_SYS_EEPROM_BUS_NUM
-       unsigned int bus;
-#endif
 
        memset(eeprom, 0, TRICORDER_EEPROM_SIZE);
        memset(eeprom_verify, 0, TRICORDER_EEPROM_SIZE);
@@ -172,33 +165,23 @@ int tricorder_eeprom_write(unsigned devaddr, const char *name,
        print_buffer(0, &eeprom, 1, sizeof(eeprom), 16);
 #endif
 
-#ifdef CONFIG_SYS_EEPROM_BUS_NUM
-       bus = i2c_get_bus_num();
-       i2c_set_bus_num(CONFIG_SYS_EEPROM_BUS_NUM);
-#endif
+       eeprom_init(CONFIG_SYS_EEPROM_BUS_NUM);
 
-       /* do page write to the eeprom */
-       for (i = 0, p = (unsigned char *)&eeprom;
-            i < sizeof(eeprom);
-            i += 32, p += 32) {
-               ret = i2c_write(devaddr, i, CONFIG_SYS_I2C_EEPROM_ADDR_LEN,
-                               p, min(sizeof(eeprom) - i, 32));
-               if (ret)
-                       break;
-               udelay(5000); /* 5ms write cycle timing */
-       }
+       ret = eeprom_write(devaddr, 0, (unsigned char *)&eeprom,
+                       TRICORDER_EEPROM_SIZE);
+       if (ret)
+               printf("Tricorder: Could not write EEPROM content!\n");
 
-       ret = i2c_read(devaddr, 0, 2, (unsigned char *)&eeprom_verify,
+       ret = eeprom_read(devaddr, 0, (unsigned char *)&eeprom_verify,
                        TRICORDER_EEPROM_SIZE);
+       if (ret)
+               printf("Tricorder: Could not read EEPROM content!\n");
 
        if (memcmp(&eeprom, &eeprom_verify, sizeof(eeprom)) != 0) {
                printf("Tricorder: Could not verify EEPROM content!\n");
                ret = 1;
        }
 
-#ifdef CONFIG_SYS_EEPROM_BUS_NUM
-       i2c_set_bus_num(bus);
-#endif
        return ret;
 }
 
@@ -206,7 +189,7 @@ int do_tricorder_eeprom(cmd_tbl_t *cmdtp, int flag, int argc, char *argv[])
 {
        if (argc == 3) {
                ulong dev_addr = simple_strtoul(argv[2], NULL, 16);
-               eeprom_init();
+
                if (strcmp(argv[1], "read") == 0) {
                        int rcode;
 
@@ -220,7 +203,6 @@ int do_tricorder_eeprom(cmd_tbl_t *cmdtp, int flag, int argc, char *argv[])
                char *version = argv[4];
                char *serial = argv[5];
                char *interface = NULL;
-               eeprom_init();
 
                if (argc == 7)
                        interface = argv[6];
index 95d18911c3dc366c0e415b1a30ec2b5b76f09752..9541817d094fa361b9ae44419c065afdc0e2dd1f 100644 (file)
@@ -7,6 +7,7 @@
 
 #include <common.h>
 #include <command.h>
+#include <console.h>
 #if !defined(CONFIG_440)
 #include <asm/4xx_pci.h>
 #endif
index bf5a4cb682430225a989a5be73934d117418099b..ca9a94427f9852004241fd717d4f491babce41d7 100644 (file)
@@ -5,6 +5,7 @@
  * SPDX-License-Identifier:    GPL-2.0+
  */
 #include <common.h>
+#include <console.h>
 #include <libfdt.h>
 #include <fdt_support.h>
 #include <asm/processor.h>
index 3e1713247d34c13cb052be830ae5706b529070b9..24e4977c9bab9effc73c57753560e4ce4fa038b3 100644 (file)
@@ -6,6 +6,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <libfdt.h>
 #include <fdt_support.h>
 #include <asm/processor.h>
index 40b135f2ba0e15ee1fe267cd89e6d88f7bdf6de9..b7cd595362c3c25f7f26b9c43e579ea30fd0acc6 100644 (file)
@@ -6,6 +6,7 @@
  */
 #include <common.h>
 #include <command.h>
+#include <console.h>
 #include <asm/io.h>
 #include <asm/cache.h>
 #include <asm/processor.h>
index 15c3151b8421587947d447504323be366e72efce..7e35c1984d3a4cb544bbd795d0a56fea8ee57195 100644 (file)
@@ -13,6 +13,7 @@
  * SPDX-License-Identifier:    GPL-2.0+
  */
 #include <common.h>
+#include <console.h>
 #include <libfdt.h>
 #include <fdt_support.h>
 #include <asm/ppc440.h>
index cd56bed9915cf434f6cb8b354523e7a71e3f0088..0c1574ca5190ad8d7dbf31cecd3c181d2da106dc 100644 (file)
@@ -8,6 +8,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <ioports.h>
 #include <mpc83xx.h>
 #include <asm/mpc8349_pci.h>
index 3aa5a780f4bd5c9267b3503b2c38de87e94ca87a..3f7cc03581afe07258b8fbf0bf8202e83869b7a0 100644 (file)
@@ -4,6 +4,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <asm/spl.h>
 #include <malloc.h>
 #include <ns16550.h>
index 211171140084fbe52c4d6b5ccbe4b7faad7f6b80..3d31d41a4f6de59b70628ac8e2ca78e4f897e216 100644 (file)
@@ -4,6 +4,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <ns16550.h>
 #include <malloc.h>
 #include <mmc.h>
index 836578f3cb827935fefd7b0acff3aafb1e6f1ce0..122490c026ed5d1bc4151f80e4ec22b23ec3aa1e 100644 (file)
@@ -7,6 +7,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <hwconfig.h>
 #include <pci.h>
 #include <asm/processor.h>
index ee873b09141c1f02fc75c5131af18be927b61cbb..eb8e567554c8840bdfb14d2dce541621692dcbd9 100644 (file)
@@ -4,6 +4,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <ns16550.h>
 #include <malloc.h>
 #include <mmc.h>
index 7bd9d296ee0d072dbde263c73857df000bbec686..89ef95aab891735ac1c0e80cf42ef3b731c32ff8 100644 (file)
@@ -5,6 +5,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <ns16550.h>
 #include <malloc.h>
 #include <mmc.h>
index 8d0d850480212c881e0a8b3eb94518e7af8a27a7..0142746c84211a0dea7da0133091b4d3b97ea467 100644 (file)
@@ -5,6 +5,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <ns16550.h>
 #include <malloc.h>
 #include <mmc.h>
index 08aef6e159710e8789acf5c5d0643fe7925b5466..073ff2dcde1cf893ad33f0a56c54021624cbe702 100644 (file)
@@ -4,6 +4,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <malloc.h>
 #include <ns16550.h>
 #include <nand.h>
index 9c581ff88e085395091ad9684933a1dee1235610..da97c440c48bf845d6a274d976fb7611b6c6339b 100644 (file)
@@ -4,6 +4,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <malloc.h>
 #include <ns16550.h>
 #include <nand.h>
index 4e8735b9ff19fb0112f799be3452657f54bf128b..81f48c4c3010a7f71c740f3d736dc087c86e7a56 100644 (file)
@@ -4,6 +4,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <malloc.h>
 #include <ns16550.h>
 #include <nand.h>
index a71c6171218cc47efb54a07c2cbad1cb20901082..55a0f8fdce5bea1cdfd51f4c058eb2270a733d2e 100644 (file)
@@ -4,6 +4,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <malloc.h>
 #include <ns16550.h>
 #include <nand.h>
index 9ae2b1e863f54822ba48a66bb76c0486c86d47c5..f63366bb0e6336614c73fd0d4b9d7ba5b30ec6ac 100644 (file)
@@ -4,6 +4,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <malloc.h>
 #include <ns16550.h>
 #include <nand.h>
index 0c6156e7f09b4a877c4b00e4fd8d902b1d9a33eb..d52059a145330eff991256e1a32bbd91a684760c 100644 (file)
@@ -4,6 +4,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <asm/spl.h>
 #include <malloc.h>
 #include <ns16550.h>
index 68ecde7909729e9abfc46fd890d0efc8a3bf11c0..4c1e0cc8d0e83ad2b127a0e406d2f3203896d5f1 100644 (file)
@@ -7,6 +7,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <asm/spl.h>
 #include <malloc.h>
 #include <ns16550.h>
index e0c74fee808d22048012d656c6a03e02240b7986..0e81c9d9e4f3779027efe04bbdff6d6004328c4f 100644 (file)
@@ -7,6 +7,7 @@
 
 #include <common.h>
 #include <command.h>
+#include <console.h>
 
 #include <gdsys_fpga.h>
 
index 0bd12ece86b0a07553560b4ec87e47071daabaf7..4c4320512986d197130cc94ce1afed5a335ca1cf 100644 (file)
@@ -10,6 +10,7 @@
 #include <asm/io.h>
 #include <common.h>
 #include <config.h>
+#include <console.h>
 #include <mpc5xxx.h>
 #include <pci.h>
 
index 044c6d5e9d68ed43507b1a8e88148094a566ee1d..57b89e0ba64e882177b5405be7ce90cbefd2d69c 100644 (file)
@@ -40,7 +40,7 @@ static const struct ns16550_platdata igep_serial = {
 };
 
 U_BOOT_DEVICE(igep_uart) = {
-       "serial_omap",
+       "ns16550_serial",
        &igep_serial
 };
 
index 919133b7d3efc9b5338ca95c5c2fed9cf8f8228e..babba852a2d7dcd6a02dfed4bb6d4232eb768c78 100644 (file)
@@ -88,6 +88,7 @@ int drv_keyboard_init(void)
                debug("%s: Cannot set up input\n", __func__);
                return -1;
        }
+       input_add_tables(&button_input, false);
        button_input.read_keys = novena_gpio_button_read_keys;
 
        error = input_stdio_register(&dev);
index 4eff01a255f58cd2be89d0a1ae40843170c5d2cf..c818c9d4ced0ecb4372d12cb6cdbcd756689e745 100644 (file)
@@ -35,7 +35,7 @@ static const struct ns16550_platdata serial_omap_platdata = {
 };
 
 U_BOOT_DEVICE(sniper_serial) = {
-       .name = "serial_omap",
+       .name = "ns16550_serial",
        .platdata = &serial_omap_platdata
 };
 
index babb0dc0fe49962b025dfb47661028a94fa61b2e..fb89921e6b72222b06a9902eef68c8fe3558e188 100644 (file)
@@ -43,7 +43,7 @@ static const struct ns16550_platdata omap3logic_serial = {
 };
 
 U_BOOT_DEVICE(omap3logic_uart) = {
-       "serial_omap",
+       "ns16550_serial",
        &omap3logic_serial
 };
 
index 0a3b55b6c12b5e47b878313e85abf29e678b6f3b..4040114ce0aaa761cdb819dd4870e95675510ef4 100644 (file)
@@ -50,7 +50,7 @@ static const struct ns16550_platdata zoom1_serial = {
 };
 
 U_BOOT_DEVICE(zoom1_uart) = {
-       "serial_omap",
+       "ns16550_serial",
        &zoom1_serial
 };
 
index 97962daf93948773db6b8edaddaf71512d3917e5..d6c0a205a3c265fdfc22e497f13aab447215ad58 100644 (file)
@@ -17,6 +17,7 @@
 #include <common.h>
 #include <i2c.h>
 #include <command.h>
+#include <console.h>
 #include <post.h>
 #include <serial.h>
 #include <malloc.h>
index 1da72c53989cec503866d2ebb6d1110104bf5dbb..36b169489eac2d22574d791a986c15974ffeccbc 100644 (file)
@@ -8,6 +8,7 @@
  * linux/drivers/char/pc_keyb.c
  */
 #include <common.h>
+#include <console.h>
 #include <asm/processor.h>
 #include <stdio_dev.h>
 #include "isa.h"
index 958cdec1365cacceebb8d2c703a05a30146ed82f..ddc21083d84404379a036a12669c525a765e79a0 100644 (file)
@@ -29,6 +29,7 @@
  **********************************************************************************/
 
 #include <common.h>
+#include <console.h>
 #include <mpc5xx.h>
 #include <stdio_dev.h>
 #include <pci_ids.h>
index 012db1c5f7435dcede54ab4c7655e239cafa05af..1b73dbe5edd1303e074e54b581c38a3a11a253ad 100644 (file)
@@ -114,10 +114,6 @@ RTC
 ----
 CONFIG_RTC_MC146818            MC146818 RTC support
 
-Keyboard:
----------
-CONFIG_ISA_KEYBOARD            Standard (PC-Style) Keyboard support
-
 Video:
 ------
 CONFIG_VIDEO_CT69000           Enable Chips & Technologies 69000 Video chip
index 20cbec208e810ea83d02b721f237071859dd9f5a..a38b959cb24f5c619d8a12d360766f354a3c9a6a 100644 (file)
@@ -74,7 +74,7 @@ static const struct ns16550_platdata overo_serial = {
 };
 
 U_BOOT_DEVICE(overo_uart) = {
-       "serial_omap",
+       "ns16550_serial",
        &overo_serial
 };
 
index b97a09ab1512b16bbd079b8b7a2a96555e01adc8..21793e85c4df7620e15f23c843e6cac7289a34fb 100644 (file)
@@ -97,7 +97,7 @@ static const struct ns16550_platdata cairo_serial = {
 };
 
 U_BOOT_DEVICE(cairo_uart) = {
-       "serial_omap",
+       "ns16550_serial",
        &cairo_serial
 };
 
index d5207507037163cdb6ec0768046528d5abad824c..9a6cdab9ee5b633d2bdf6b7ec0d90fa5cc1c3724 100644 (file)
@@ -5,6 +5,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <asm/io.h>
 #include <asm/processor.h>
 #include <asm/pci.h>
index 80eaa6334cb56cccbeadaee9e0a3bf68c9a07c40..592f7728c02d5c72f0e842b61296ea5fbcf14012 100644 (file)
@@ -26,6 +26,7 @@ void flush_cache(unsigned long start, unsigned long size)
 {
 }
 
+#ifndef CONFIG_TIMER
 /* system timer offset in ms */
 static unsigned long sandbox_timer_offset;
 
@@ -38,6 +39,7 @@ unsigned long timer_read_counter(void)
 {
        return os_get_nsec() / 1000 + sandbox_timer_offset * 1000;
 }
+#endif
 
 int dram_init(void)
 {
index f6f2a605eca32b16e12b4b110cb4e8ca80ec19f4..2dd9d3bfecd2eaa5a26a191a1547a70ac057bdbf 100644 (file)
@@ -68,6 +68,12 @@ config MACH_SUN8I_A33
        select SUPPORT_SPL
        select ARMV7_BOOT_SEC_DEFAULT if OLD_SUNXI_KERNEL_COMPAT
 
+config MACH_SUN8I_H3
+       bool "sun8i (Allwinner H3)"
+       select CPU_V7
+       select SUNXI_GEN_SUN6I
+       select SUPPORT_SPL
+
 config MACH_SUN9I
        bool "sun9i (Allwinner A80)"
        select CPU_V7
@@ -78,7 +84,7 @@ endchoice
 # The sun8i SoCs share a lot, this helps to avoid a lot of "if A23 || A33"
 config MACH_SUN8I
        bool
-       default y if MACH_SUN8I_A23 || MACH_SUN8I_A33
+       default y if MACH_SUN8I_A23 || MACH_SUN8I_A33 || MACH_SUN8I_H3
 
 
 config DRAM_CLK
index 96c4f3aa029f4fdcbb597d7dbb70475b5722ce70..1f62de763aa72cacafbd4a9fd7a8464b49abc772 100644 (file)
@@ -50,6 +50,8 @@ F:    configs/Wits_Pro_A20_DKT_defconfig
 F:     include/configs/sun8i.h
 F:     configs/ga10h_v1_1_defconfig
 F:     configs/gt90h_v4_defconfig
+F:     configs/orangepi_pc_defconfig
+F:     configs/orangepi_plus_defconfig
 F:     configs/q8_a23_tablet_800x480_defconfig
 F:     configs/q8_a33_tablet_800x480_defconfig
 F:     configs/q8_a33_tablet_1024x600_defconfig
@@ -119,6 +121,11 @@ M: Michal Suchanek <hramrach@gmail.com>
 S:     Maintained
 F:     configs/iNet_86VS_defconfig
 
+LAMOBO-R1 BOARD
+M:     Jelle de Jong <jelledejong@powercraft.nl>
+S:     Maintained
+F:     configs/Lamobo_R1_defconfig
+
 LINKSPRITE-PCDUINO BOARD
 M:     Zoltan Herpai <wigyori@uid0.hu>
 S:     Maintained
index 23f22a02bece2ce0de351bd15ef96c0095cc3dc4..3c007b76dd5b4584e626dc07ba425e77c0dec282 100644 (file)
@@ -255,10 +255,10 @@ const struct pad_conf_entry core_padconf_array_essential[] = {
        {UART2_RTSN, (M1 | PIN_INPUT_SLEW)},    /* uart2_rtsn.uart3_txd */
        {I2C2_SDA, (M1 | PIN_INPUT)},           /* i2c2_sda.hdmi1_ddc_scl */
        {I2C2_SCL, (M1 | PIN_INPUT)},           /* i2c2_scl.hdmi1_ddc_sda */
-       {WAKEUP0, (M0 | PIN_OUTPUT_PULLUP)},    /* Wakeup0.Wakeup0 */
-       {WAKEUP1, (M0 | PIN_OUTPUT_PULLDOWN)},  /* Wakeup1.Wakeup1 */
-       {WAKEUP2, (M0 | PIN_OUTPUT_PULLDOWN)},  /* Wakeup2.Wakeup2 */
-       {WAKEUP3, (M0 | PIN_OUTPUT_PULLUP)},    /* Wakeup3.Wakeup3 */
+       {WAKEUP0, (M0 | PULL_UP)},              /* Wakeup0.Wakeup0 */
+       {WAKEUP1, (M0)},                        /* Wakeup1.Wakeup1 */
+       {WAKEUP2, (M0)},                        /* Wakeup2.Wakeup2 */
+       {WAKEUP3, (M0 | PULL_UP)},              /* Wakeup3.Wakeup3 */
        {ON_OFF, (M1 | PIN_OUTPUT_PULLUP)},     /* on_off.on_off */
        {RTC_PORZ, (M0 | PIN_OUTPUT_PULLDOWN)}, /* rtc_porz.rtc_porz */
        {RTCK, (M0 | PIN_INPUT_PULLDOWN)},      /* rtck.rtck */
index 56e3cfe935a4e79056b25598797673f848342323..ff317efc2c75de39a88f32b39c7d45a81753ab6a 100644 (file)
@@ -79,7 +79,7 @@ static const struct ns16550_platdata beagle_serial = {
 };
 
 U_BOOT_DEVICE(beagle_uart) = {
-       "serial_omap",
+       "ns16550_serial",
        &beagle_serial
 };
 
index bf401443e46541ae5253fdf9de898990754fb8b2..1bfb36243b5f47851aed1f5dc9687af6e5351a16 100644 (file)
@@ -372,7 +372,7 @@ const struct pad_conf_entry dra74x_core_padconf_array[] = {
        {I2C2_SDA, (M0 | PIN_INPUT_PULLUP)},    /* i2c2_sda.i2c2_sda */
        {I2C2_SCL, (M0 | PIN_INPUT_PULLUP)},    /* i2c2_scl.i2c2_scl */
        {WAKEUP0, (M15 | PULL_UP)},     /* Wakeup0.safe for dcan1_rx */
-       {WAKEUP2, (M14 | PIN_OUTPUT)},  /* Wakeup2.gpio1_2 */
+       {WAKEUP2, (M14)},               /* Wakeup2.gpio1_2 */
 };
 
 #ifdef CONFIG_IODELAY_RECALIBRATION
index a61cc1481b47c8304dba2572638b4e6b7a27b972..1a447c77df21203e169147a3680817d0226f6071 100644 (file)
@@ -52,7 +52,7 @@ static const struct ns16550_platdata devkit8000_serial = {
 };
 
 U_BOOT_DEVICE(devkit8000_uart) = {
-       "serial_omap",
+       "ns16550_serial",
        &devkit8000_serial
 };
 
index 5f905d5dcaf5c87e714654bf3a655c555a173c1c..9d2d5a8fa986df4d1e73c2bd124e37444e8d5a42 100644 (file)
@@ -12,6 +12,7 @@
 
 #include <common.h>
 #include <command.h>
+#include <console.h>
 
 #if defined(CONFIG_CMD_BSP)
 
index 4d4f29da74e56580c228c2199b95422a6d2cb77d..8b82c348a70ca7d6f658695758d92951171c161d 100644 (file)
@@ -12,6 +12,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <mpc5xxx.h>
 #include <pci.h>
 #include <asm/processor.h>
index daab578f87ca6d312a782bdf99578ef77407b634..3b8a6683ebbd188ef39eeca4280f08c88f2672c5 100644 (file)
@@ -5,6 +5,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <asm/processor.h>
 #include <spd_sdram.h>
 #include <i2c.h>
diff --git a/board/zyxel/nsa310s/Kconfig b/board/zyxel/nsa310s/Kconfig
new file mode 100644 (file)
index 0000000..77e734d
--- /dev/null
@@ -0,0 +1,20 @@
+#
+# Copyright (C) 2015
+# Gerald Kerma <dreagle@doukki.net>
+# Tony Dinh <mibodhi@gmail.com>
+#
+# SPDX-License-Identifier:     GPL-2.0+
+#
+
+if TARGET_NSA310S
+
+config SYS_BOARD
+       default "nsa310s"
+
+config SYS_VENDOR
+       default "zyxel"
+
+config SYS_CONFIG_NAME
+       default "nsa310s"
+
+endif
diff --git a/board/zyxel/nsa310s/MAINTAINERS b/board/zyxel/nsa310s/MAINTAINERS
new file mode 100644 (file)
index 0000000..d153758
--- /dev/null
@@ -0,0 +1,8 @@
+NSA310S BOARD
+M:     Gerald Kerma <dreagle@doukki.net>
+M:     Tony Dinh <mibodhi@gmail.com>
+M:     Luka Perkov <luka.perkov@sartura.hr>
+S:     Maintained
+F:     board/zyxel/nsa310s/
+F:     include/configs/nsa310s.h
+F:     configs/nsa310s_defconfig
diff --git a/board/zyxel/nsa310s/Makefile b/board/zyxel/nsa310s/Makefile
new file mode 100644 (file)
index 0000000..43cdb86
--- /dev/null
@@ -0,0 +1,9 @@
+#
+# Copyright (C) 2015
+# Gerald Kerma <dreagle@doukki.net>
+# Tony Dinh <mibodhi@gmail.com>
+#
+# SPDX-License-Identifier:     GPL-2.0+
+#
+
+obj-y  := nsa310s.o
diff --git a/board/zyxel/nsa310s/kwbimage.cfg b/board/zyxel/nsa310s/kwbimage.cfg
new file mode 100644 (file)
index 0000000..e8f4b8a
--- /dev/null
@@ -0,0 +1,43 @@
+#
+# Copyright (C) 2015
+# Gerald Kerma <dreagle@doukki.net>
+# Tony Dinh <mibodhi@gmail.com>
+#
+# SPDX-License-Identifier:     GPL-2.0+
+#
+# Refer to doc/README.kwbimage for more details about how-to
+# configure and create kirkwood boot images.
+#
+
+# Boot Media configurations
+BOOT_FROM       nand
+NAND_ECC_MODE   default
+NAND_PAGE_SIZE  0x0800
+
+# Configure RGMII-0 interface pad voltage to 1.8V
+DATA 0xFFD100e0 0x1b1b1b9b
+
+DATA 0xFFD01400 0x43010c30
+DATA 0xFFD01404 0x39543000
+DATA 0xFFD01408 0x22125451
+DATA 0xFFD0140C 0x00000833
+DATA 0xFFD01410 0x0000000C
+DATA 0xFFD01414 0x00000000
+DATA 0xFFD01418 0x00000000
+DATA 0xFFD0141C 0x00000652
+DATA 0xFFD01420 0x00000004
+DATA 0xFFD01424 0x0000F17F
+DATA 0xFFD01428 0x00085520
+DATA 0xFFD0147c 0x00008552
+DATA 0xFFD01504 0x0FFFFFF1
+DATA 0xFFD01508 0x10000000
+DATA 0xFFD0150C 0x00000000
+DATA 0xFFD01514 0x00000000
+DATA 0xFFD0151C 0x00000000
+DATA 0xFFD01494 0x00010000
+DATA 0xFFD01498 0x00000000
+DATA 0xFFD0149C 0x0000E403
+DATA 0xFFD01480 0x00000001
+DATA 0xFFD20134 0x66666666
+DATA 0xFFD20138 0x66666666
+DATA 0x0 0x0
diff --git a/board/zyxel/nsa310s/nsa310s.c b/board/zyxel/nsa310s/nsa310s.c
new file mode 100644 (file)
index 0000000..aab33cf
--- /dev/null
@@ -0,0 +1,133 @@
+/*
+ * Copyright (C) 2015
+ * Gerald Kerma <dreagle@doukki.net>
+ * Tony Dinh <mibodhi@gmail.com>
+ *
+ * SPDX-License-Identifier:    GPL-2.0+
+ */
+
+#include <common.h>
+#include <miiphy.h>
+#include <asm/arch/cpu.h>
+#include <asm/arch/soc.h>
+#include <asm/arch/mpp.h>
+#include <asm/io.h>
+#include "nsa310s.h"
+
+DECLARE_GLOBAL_DATA_PTR;
+
+int board_early_init_f(void)
+{
+       /*
+        * default gpio configuration
+        * There are maximum 64 gpios controlled through 2 sets of registers
+        * the below configuration configures mainly initial LED status
+        */
+       mvebu_config_gpio(NSA310S_VAL_LOW, NSA310S_VAL_HIGH,
+                         NSA310S_OE_LOW, NSA310S_OE_HIGH);
+
+       /* (all LEDs & power off active high) */
+       /* Multi-Purpose Pins Functionality configuration */
+       static const u32 kwmpp_config[] = {
+               MPP0_NF_IO2,
+               MPP1_NF_IO3,
+               MPP2_NF_IO4,
+               MPP3_NF_IO5,
+               MPP4_NF_IO6,
+               MPP5_NF_IO7,
+               MPP6_SYSRST_OUTn,
+               MPP7_GPO,
+               MPP8_TW_SDA,
+               MPP9_TW_SCK,
+               MPP10_UART0_TXD,
+               MPP11_UART0_RXD,
+               MPP12_GPO,
+               MPP13_GPIO,
+               MPP14_GPIO,
+               MPP15_GPIO,
+               MPP16_GPIO,
+               MPP17_GPIO,
+               MPP18_NF_IO0,
+               MPP19_NF_IO1,
+               MPP20_GPIO,
+               MPP21_GPIO,
+               MPP22_GPIO,
+               MPP23_GPIO,
+               MPP24_GPIO,
+               MPP25_GPIO,
+               MPP26_GPIO,
+               MPP27_GPIO,
+               MPP28_GPIO,
+               MPP29_GPIO,
+               MPP30_GPIO,
+               MPP31_GPIO,
+               MPP32_GPIO,
+               MPP33_GPIO,
+               MPP34_GPIO,
+               MPP35_GPIO,
+               0
+       };
+       kirkwood_mpp_conf(kwmpp_config, NULL);
+       return 0;
+}
+
+int board_init(void)
+{
+       /* address of boot parameters */
+       gd->bd->bi_boot_params = mvebu_sdram_bar(0) + 0x100;
+
+       return 0;
+}
+
+#ifdef CONFIG_RESET_PHY_R
+void reset_phy(void)
+{
+       u16 reg;
+       u16 phyaddr;
+       char *name = "egiga0";
+
+       if (miiphy_set_current_dev(name))
+               return;
+
+       /* read PHY dev address */
+       if (miiphy_read(name, 0xee, 0xee, (u16 *) &phyaddr)) {
+               printf("could not read PHY dev address\n");
+               return;
+       }
+
+       /* set RGMII delay */
+       miiphy_write(name, phyaddr, MV88E1318_PGADR_REG, MV88E1318_MAC_CTRL_PG);
+       miiphy_read(name, phyaddr, MV88E1318_MAC_CTRL_REG, &reg);
+       reg |= (MV88E1318_RGMII_RX_CTRL | MV88E1318_RGMII_TX_CTRL);
+       miiphy_write(name, phyaddr, MV88E1318_MAC_CTRL_REG, reg);
+       miiphy_write(name, phyaddr, MV88E1318_PGADR_REG, 0);
+
+       /* reset PHY */
+       if (miiphy_reset(name, phyaddr))
+               return;
+
+       /*
+        * ZyXEL NSA310S uses the 88E1310S Alaska (interface identical to 88E1318)
+        * and has an MCU attached to the LED[2] via tristate interrupt
+        */
+
+       /* switch to LED register page */
+       miiphy_write(name, phyaddr, MV88E1318_PGADR_REG, MV88E1318_LED_PG);
+       /* read out LED polarity register */
+       miiphy_read(name, phyaddr, MV88E1318_LED_POL_REG, &reg);
+       /* clear 4, set 5 - LED2 low, tri-state */
+       reg &= ~(MV88E1318_LED2_4);
+       reg |= (MV88E1318_LED2_5);
+       /* write back LED polarity register */
+       miiphy_write(name, phyaddr, MV88E1318_LED_POL_REG, reg);
+       /* jump back to page 0, per the PHY chip documenation. */
+       miiphy_write(name, phyaddr, MV88E1318_PGADR_REG, 0);
+
+       /* set PHY back to auto-negotiation mode */
+       miiphy_write(name, phyaddr, 0x4, 0x1e1);
+       miiphy_write(name, phyaddr, 0x9, 0x300);
+       /* downshift */
+       miiphy_write(name, phyaddr, 0x10, 0x3860);
+       miiphy_write(name, phyaddr, 0x0, 0x9140);
+}
+#endif /* CONFIG_RESET_PHY_R */
diff --git a/board/zyxel/nsa310s/nsa310s.h b/board/zyxel/nsa310s/nsa310s.h
new file mode 100644 (file)
index 0000000..1ea1105
--- /dev/null
@@ -0,0 +1,47 @@
+/*
+ * Copyright (C) 2015
+ * Gerald Kerma <dreagle@doukki.net>
+ * Tony Dinh <mibodhi@gmail.com>
+ *
+ * SPDX-License-Identifier:    GPL-2.0+
+ */
+
+#ifndef __NSA310S_H
+#define __NSA310S_H
+
+/* low GPIO's */
+#define HDD1_GREEN_LED         (1 << 16)
+#define HDD1_RED_LED           (1 << 13)
+#define USB_GREEN_LED          (1 << 15)
+#define USB_POWER                      (1 << 21)
+#define SYS_GREEN_LED          (1 << 28)
+#define SYS_ORANGE_LED         (1 << 29)
+
+#define COPY_GREEN_LED         (1 << 22)
+#define COPY_RED_LED           (1 << 23)
+
+#define PIN_USB_GREEN_LED      15
+#define PIN_USB_POWER          21
+
+#define NSA310S_OE_LOW         (~(0))
+#define NSA310S_VAL_LOW                (SYS_GREEN_LED | USB_POWER)
+
+/* high GPIO's */
+#define HDD2_GREEN_LED         (1 << 2)
+#define HDD2_POWER                     (1 << 1)
+
+#define NSA310S_OE_HIGH                (~(0))
+#define NSA310S_VAL_HIGH       (HDD2_POWER)
+
+/* PHY related */
+#define MV88E1318_PGADR_REG            22
+#define MV88E1318_MAC_CTRL_PG  2
+#define MV88E1318_MAC_CTRL_REG 21
+#define MV88E1318_RGMII_TX_CTRL        (1 << 4)
+#define MV88E1318_RGMII_RX_CTRL        (1 << 5)
+#define MV88E1318_LED_PG               3
+#define MV88E1318_LED_POL_REG  17
+#define MV88E1318_LED2_4               (1 << 4)
+#define MV88E1318_LED2_5               (1 << 5)
+
+#endif /* __NSA310S_H */
index 620d41f9ea63ba15463e95aedbbb0c5979e6d395..ccf5475bac63ae4b2e2ff54ed1afe4b258f996b3 100644 (file)
@@ -679,3 +679,31 @@ config CMD_TPM_TEST
 endmenu
 
 endmenu
+
+config CONSOLE_RECORD
+       bool "Console recording"
+       help
+         This provides a way to record console output (and provide console
+         input) through cirular buffers. This is mostly useful for testing.
+         Console output is recorded even when the console is silent.
+         To enable console recording, call console_record_reset_enable()
+         from your code.
+
+config CONSOLE_RECORD_OUT_SIZE
+       hex "Output buffer size"
+       depends on CONSOLE_RECORD
+       default 0x400 if CONSOLE_RECORD
+       help
+         Set the size of the console output buffer. When this fills up, no
+         more data will be recorded until some is removed. The buffer is
+         allocated immediately after the malloc() region is ready.
+
+config CONSOLE_RECORD_IN_SIZE
+       hex "Input buffer size"
+       depends on CONSOLE_RECORD
+       default 0x100 if CONSOLE_RECORD
+       help
+         Set the size of the console input buffer. When this contains data,
+         tstc() and getc() will use this in preference to real device input.
+         The buffer is allocated immediately after the malloc() region is
+         ready.
index c367076257971b943e2d0c872fda448602316930..c11fb3123615b5834837854dd60ce2c1c32b206c 100644 (file)
@@ -9,6 +9,7 @@
 #include <autoboot.h>
 #include <bootretry.h>
 #include <cli.h>
+#include <console.h>
 #include <fdtdec.h>
 #include <menu.h>
 #include <post.h>
index 04c273e2fe9519450f03f9425845cc5a71451db2..b035c90ff3b7a7fd05c1e4b1f9d832b7faf8dba3 100644 (file)
@@ -13,6 +13,7 @@
 #include <common.h>
 #include <linux/compiler.h>
 #include <version.h>
+#include <console.h>
 #include <environment.h>
 #include <dm.h>
 #include <fdtdec.h>
@@ -736,6 +737,15 @@ static int mark_bootstage(void)
        return 0;
 }
 
+static int initf_console_record(void)
+{
+#if defined(CONFIG_CONSOLE_RECORD) && defined(CONFIG_SYS_MALLOC_F_LEN)
+       return console_record_init();
+#else
+       return 0;
+#endif
+}
+
 static int initf_dm(void)
 {
 #if defined(CONFIG_DM) && defined(CONFIG_SYS_MALLOC_F_LEN)
@@ -772,6 +782,7 @@ static init_fnc_t init_sequence_f[] = {
        trace_early_init,
 #endif
        initf_malloc,
+       initf_console_record,
 #if defined(CONFIG_MPC85xx) || defined(CONFIG_MPC86xx)
        /* TODO: can this go into arch_cpu_init()? */
        probecpu,
@@ -780,9 +791,9 @@ static init_fnc_t init_sequence_f[] = {
        x86_fsp_init,
 #endif
        arch_cpu_init,          /* basic arch cpu dependent setup */
-       mark_bootstage,
        initf_dm,
        arch_cpu_init_dm,
+       mark_bootstage,         /* need timer, go after init dm */
 #if defined(CONFIG_BOARD_EARLY_INIT_F)
        board_early_init_f,
 #endif
index c6aa7e5224ab77b8b1cbf7fc2c51d1d9df09fc2b..f7118e8fc486e74c6218762d6902fe07a2cbf413 100644 (file)
@@ -15,6 +15,7 @@
 #if defined(CONFIG_CMD_BEDBUG)
 #include <bedbug/type.h>
 #endif
+#include <console.h>
 #ifdef CONFIG_HAS_DATAFLASH
 #include <dataflash.h>
 #endif
@@ -279,6 +280,15 @@ static int initr_malloc(void)
        return 0;
 }
 
+static int initr_console_record(void)
+{
+#if defined(CONFIG_CONSOLE_RECORD)
+       return console_record_init();
+#else
+       return 0;
+#endif
+}
+
 #ifdef CONFIG_SYS_NONCACHED_MEMORY
 static int initr_noncached(void)
 {
@@ -730,6 +740,7 @@ init_fnc_t init_sequence_r[] = {
 #endif
        initr_barrier,
        initr_malloc,
+       initr_console_record,
 #ifdef CONFIG_SYS_NONCACHED_MEMORY
        initr_noncached,
 #endif
index b6ae80a5fe9d2cb79c7b6571c3e91714ba830f06..fbcd339c9beeb2998c68876f942811426c060682 100644 (file)
@@ -12,6 +12,7 @@
 #include <common.h>
 #include <cli.h>
 #include <cli_hush.h>
+#include <console.h>
 #include <fdtdec.h>
 #include <malloc.h>
 
index 296542f4c2d62b7094694a40c79c7d2fe9fdf545..a7cac4fcb9dfbb6ad568cd25f27b4be06c9477e3 100644 (file)
@@ -79,6 +79,7 @@
 #include <malloc.h>         /* malloc, free, realloc*/
 #include <linux/ctype.h>    /* isalpha, isdigit */
 #include <common.h>        /* readline */
+#include <console.h>
 #include <bootretry.h>
 #include <cli.h>
 #include <cli_hush.h>
index d8b40c93915496a62833171005c190935d363b72..9c3d073d583b818b9afe8e17e10380d6b76e6349 100644 (file)
@@ -12,6 +12,7 @@
 #include <common.h>
 #include <bootretry.h>
 #include <cli.h>
+#include <console.h>
 #include <linux/ctype.h>
 
 #define DEBUG_PARSER   0       /* set to 1 to debug */
index af453f7b3b84aba97704fd9ff2178d00876b6aad..b94d128faa29afb61f96d0ccfc5eb73746bc65b9 100644 (file)
@@ -8,6 +8,7 @@
  */
 #include <common.h>
 #include <command.h>
+#include <console.h>
 #include <asm/io.h>
 
 #define MAX_REGIONS 4
index 57a8a3f2fe352177fa8edefd629ea7ac57bfb5f7..69afeafecb5652d180d6329f24db8bf3af535cf8 100644 (file)
@@ -5,6 +5,7 @@
 #include <common.h>
 #include <cli.h>
 #include <command.h>
+#include <console.h>
 #include <linux/ctype.h>
 #include <net.h>
 #include <bedbug/type.h>
index 4fddd804abd9bbad5b3c682dbe5211151a47b3a0..cc77250ac8239f91f40223d768a8cbd7c5b8eb38 100644 (file)
@@ -13,6 +13,7 @@
 #include <cli.h>
 #include <config.h>
 #include <command.h>
+#include <console.h>
 
 unsigned long get_dcr (unsigned short);
 unsigned long set_dcr (unsigned short, unsigned long);
index f060db75c6c8d161e71bd85374f0ac148457f14a..6d95ce922312af4dd4d303fceeddd7d751e36ff4 100644 (file)
@@ -14,6 +14,7 @@
 #include <common.h>
 #include <watchdog.h>
 #include <dfu.h>
+#include <console.h>
 #include <g_dnl.h>
 #include <usb.h>
 #include <net.h>
index e9904cd6982bad704260e4a5c247ddb8342a3a65..6eab1ea083158907173719a4298bb915c1a1b353 100644 (file)
 #include <command.h>
 #include <i2c.h>
 
-extern void eeprom_init  (void);
-extern int  eeprom_read  (unsigned dev_addr, unsigned offset,
-                         uchar *buffer, unsigned cnt);
-extern int  eeprom_write (unsigned dev_addr, unsigned offset,
-                         uchar *buffer, unsigned cnt);
-#if defined(CONFIG_SYS_EEPROM_WREN)
-extern int eeprom_write_enable (unsigned dev_addr, int state);
+#ifndef        CONFIG_SYS_I2C_SPEED
+#define        CONFIG_SYS_I2C_SPEED    50000
 #endif
 
-
-#if defined(CONFIG_SYS_EEPROM_X40430)
-       /* Maximum number of times to poll for acknowledge after write */
-#define MAX_ACKNOWLEDGE_POLLS  10
+#ifndef CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS
+#define CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS  0
 #endif
 
-/* ------------------------------------------------------------------------- */
-
-#if defined(CONFIG_CMD_EEPROM)
-static int do_eeprom(cmd_tbl_t *cmdtp, int flag, int argc, char * const argv[])
-{
-       const char *const fmt =
-               "\nEEPROM @0x%lX %s: addr %08lx  off %04lx  count %ld ... ";
-
-#if defined(CONFIG_SYS_I2C_MULTI_EEPROMS)
-       if (argc == 6) {
-               ulong dev_addr = simple_strtoul (argv[2], NULL, 16);
-               ulong addr = simple_strtoul (argv[3], NULL, 16);
-               ulong off  = simple_strtoul (argv[4], NULL, 16);
-               ulong cnt  = simple_strtoul (argv[5], NULL, 16);
-#else
-       if (argc == 5) {
-               ulong dev_addr = CONFIG_SYS_DEF_EEPROM_ADDR;
-               ulong addr = simple_strtoul (argv[2], NULL, 16);
-               ulong off  = simple_strtoul (argv[3], NULL, 16);
-               ulong cnt  = simple_strtoul (argv[4], NULL, 16);
-#endif /* CONFIG_SYS_I2C_MULTI_EEPROMS */
-
-# if !defined(CONFIG_SPI) || defined(CONFIG_ENV_EEPROM_IS_ON_I2C)
-               eeprom_init ();
-# endif /* !CONFIG_SPI */
-
-               if (strcmp (argv[1], "read") == 0) {
-                       int rcode;
-
-                       printf (fmt, dev_addr, argv[1], addr, off, cnt);
-
-                       rcode = eeprom_read (dev_addr, off, (uchar *) addr, cnt);
-
-                       puts ("done\n");
-                       return rcode;
-               } else if (strcmp (argv[1], "write") == 0) {
-                       int rcode;
-
-                       printf (fmt, dev_addr, argv[1], addr, off, cnt);
-
-                       rcode = eeprom_write (dev_addr, off, (uchar *) addr, cnt);
-
-                       puts ("done\n");
-                       return rcode;
-               }
-       }
-
-       return CMD_RET_USAGE;
-}
+#ifndef CONFIG_SYS_EEPROM_PAGE_WRITE_BITS
+#define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS      8
 #endif
 
-/*-----------------------------------------------------------------------
- *
+#define        EEPROM_PAGE_SIZE        (1 << CONFIG_SYS_EEPROM_PAGE_WRITE_BITS)
+#define        EEPROM_PAGE_OFFSET(x)   ((x) & (EEPROM_PAGE_SIZE - 1))
+
+/*
  * for CONFIG_SYS_I2C_EEPROM_ADDR_LEN == 2 (16-bit EEPROM address) offset is
  *   0x000nxxxx for EEPROM address selectors at n, offset xxxx in EEPROM.
  *
  * for CONFIG_SYS_I2C_EEPROM_ADDR_LEN == 1 (8-bit EEPROM page address) offset is
  *   0x00000nxx for EEPROM address selectors and page number at n.
  */
-
 #if !defined(CONFIG_SPI) || defined(CONFIG_ENV_EEPROM_IS_ON_I2C)
-#if !defined(CONFIG_SYS_I2C_EEPROM_ADDR_LEN) || CONFIG_SYS_I2C_EEPROM_ADDR_LEN < 1 || CONFIG_SYS_I2C_EEPROM_ADDR_LEN > 2
+#if !defined(CONFIG_SYS_I2C_EEPROM_ADDR_LEN) || \
+       (CONFIG_SYS_I2C_EEPROM_ADDR_LEN < 1) || \
+       (CONFIG_SYS_I2C_EEPROM_ADDR_LEN > 2)
 #error CONFIG_SYS_I2C_EEPROM_ADDR_LEN must be 1 or 2
 #endif
 #endif
 
-int eeprom_read (unsigned dev_addr, unsigned offset, uchar *buffer, unsigned cnt)
+__weak int eeprom_write_enable(unsigned dev_addr, int state)
 {
-       unsigned end = offset + cnt;
-       unsigned blk_off;
-       int rcode = 0;
+       return 0;
+}
 
-       /* Read data until done or would cross a page boundary.
-        * We must write the address again when changing pages
-        * because the next page may be in a different device.
-        */
-       while (offset < end) {
-               unsigned alen, len;
-#if !defined(CONFIG_SYS_I2C_FRAM)
-               unsigned maxlen;
+void eeprom_init(int bus)
+{
+       /* SPI EEPROM */
+#if defined(CONFIG_SPI) && !defined(CONFIG_ENV_EEPROM_IS_ON_I2C)
+       spi_init_f();
 #endif
 
-#if CONFIG_SYS_I2C_EEPROM_ADDR_LEN == 1 && !defined(CONFIG_SPI_X)
-               uchar addr[2];
+       /* I2C EEPROM */
+#if defined(CONFIG_HARD_I2C) || defined(CONFIG_SYS_I2C_SOFT)
+#if defined(CONFIG_SYS_I2C)
+       if (bus >= 0)
+               i2c_set_bus_num(bus);
+#endif
+       i2c_init(CONFIG_SYS_I2C_SPEED, CONFIG_SYS_I2C_SLAVE);
+#endif
+}
 
-               blk_off = offset & 0xFF;        /* block offset */
+static int eeprom_addr(unsigned dev_addr, unsigned offset, uchar *addr)
+{
+       unsigned blk_off;
+       int alen;
 
-               addr[0] = offset >> 8;          /* block number */
-               addr[1] = blk_off;              /* block offset */
-               alen    = 2;
+       blk_off = offset & 0xff;        /* block offset */
+#if CONFIG_SYS_I2C_EEPROM_ADDR_LEN == 1
+       addr[0] = offset >> 8;          /* block number */
+       addr[1] = blk_off;              /* block offset */
+       alen = 2;
 #else
-               uchar addr[3];
-
-               blk_off = offset & 0xFF;        /* block offset */
-
-               addr[0] = offset >> 16;         /* block number */
-               addr[1] = offset >>  8;         /* upper address octet */
-               addr[2] = blk_off;              /* lower address octet */
-               alen    = 3;
-#endif /* CONFIG_SYS_I2C_EEPROM_ADDR_LEN, CONFIG_SPI_X */
-
-               addr[0] |= dev_addr;            /* insert device address */
+       addr[0] = offset >> 16;         /* block number */
+       addr[1] = offset >>  8;         /* upper address octet */
+       addr[2] = blk_off;              /* lower address octet */
+       alen = 3;
+#endif /* CONFIG_SYS_I2C_EEPROM_ADDR_LEN */
 
-               len = end - offset;
+       addr[0] |= dev_addr;            /* insert device address */
 
-               /*
-                * For a FRAM device there is no limit on the number of the
-                * bytes that can be ccessed with the single read or write
-                * operation.
-                */
-#if !defined(CONFIG_SYS_I2C_FRAM)
-               maxlen = 0x100 - blk_off;
-               if (maxlen > I2C_RXTX_LEN)
-                       maxlen = I2C_RXTX_LEN;
-               if (len > maxlen)
-                       len = maxlen;
-#endif
-
-#if defined(CONFIG_SPI) && !defined(CONFIG_ENV_EEPROM_IS_ON_I2C)
-               spi_read (addr, alen, buffer, len);
-#else
-#if defined(CONFIG_SYS_I2C_EEPROM_BUS)
-               i2c_set_bus_num(CONFIG_SYS_I2C_EEPROM_BUS);
-#endif
-               if (i2c_read(addr[0], offset, alen - 1, buffer, len))
-                       rcode = 1;
-#endif
-               buffer += len;
-               offset += len;
-       }
-
-       return rcode;
+       return alen;
 }
 
-/*-----------------------------------------------------------------------
- *
- * for CONFIG_SYS_I2C_EEPROM_ADDR_LEN == 2 (16-bit EEPROM address) offset is
- *   0x000nxxxx for EEPROM address selectors at n, offset xxxx in EEPROM.
- *
- * for CONFIG_SYS_I2C_EEPROM_ADDR_LEN == 1 (8-bit EEPROM page address) offset is
- *   0x00000nxx for EEPROM address selectors and page number at n.
- */
-
-int eeprom_write (unsigned dev_addr, unsigned offset, uchar *buffer, unsigned cnt)
+static int eeprom_len(unsigned offset, unsigned end)
 {
-       unsigned end = offset + cnt;
-       unsigned blk_off;
-       int rcode = 0;
-
-#if defined(CONFIG_SYS_EEPROM_X40430)
-       uchar   contr_r_addr[2];
-       uchar   addr_void[2];
-       uchar   contr_reg[2];
-       uchar   ctrl_reg_v;
-       int     i;
-#endif
+       unsigned len = end - offset;
 
-#if defined(CONFIG_SYS_EEPROM_WREN)
-       eeprom_write_enable (dev_addr,1);
-#endif
-       /* Write data until done or would cross a write page boundary.
-        * We must write the address again when changing pages
-        * because the address counter only increments within a page.
+       /*
+        * For a FRAM device there is no limit on the number of the
+        * bytes that can be ccessed with the single read or write
+        * operation.
         */
-
-       while (offset < end) {
-               unsigned alen, len;
 #if !defined(CONFIG_SYS_I2C_FRAM)
-               unsigned maxlen;
-#endif
+       unsigned blk_off = offset & 0xff;
+       unsigned maxlen = EEPROM_PAGE_SIZE - EEPROM_PAGE_OFFSET(blk_off);
 
-#if CONFIG_SYS_I2C_EEPROM_ADDR_LEN == 1 && !defined(CONFIG_SPI_X)
-               uchar addr[2];
+       if (maxlen > I2C_RXTX_LEN)
+               maxlen = I2C_RXTX_LEN;
 
-               blk_off = offset & 0xFF;        /* block offset */
-
-               addr[0] = offset >> 8;          /* block number */
-               addr[1] = blk_off;              /* block offset */
-               alen    = 2;
-#else
-               uchar addr[3];
+       if (len > maxlen)
+               len = maxlen;
+#endif
 
-               blk_off = offset & 0xFF;        /* block offset */
+       return len;
+}
 
-               addr[0] = offset >> 16;         /* block number */
-               addr[1] = offset >>  8;         /* upper address octet */
-               addr[2] = blk_off;              /* lower address octet */
-               alen    = 3;
-#endif /* CONFIG_SYS_I2C_EEPROM_ADDR_LEN, CONFIG_SPI_X */
+static int eeprom_rw_block(unsigned offset, uchar *addr, unsigned alen,
+                          uchar *buffer, unsigned len, bool read)
+{
+       int ret = 0;
 
-               addr[0] |= dev_addr;            /* insert device address */
+       /* SPI */
+#if defined(CONFIG_SPI) && !defined(CONFIG_ENV_EEPROM_IS_ON_I2C)
+       if (read)
+               spi_read(addr, alen, buffer, len);
+       else
+               spi_write(addr, alen, buffer, len);
+#else  /* I2C */
 
-               len = end - offset;
+#if defined(CONFIG_SYS_I2C_EEPROM_BUS)
+       i2c_set_bus_num(CONFIG_SYS_I2C_EEPROM_BUS);
+#endif
 
-               /*
-                * For a FRAM device there is no limit on the number of the
-                * bytes that can be accessed with the single read or write
-                * operation.
-                */
-#if !defined(CONFIG_SYS_I2C_FRAM)
+       if (read)
+               ret = i2c_read(addr[0], offset, alen - 1, buffer, len);
+       else
+               ret = i2c_write(addr[0], offset, alen - 1, buffer, len);
 
-#if defined(CONFIG_SYS_EEPROM_PAGE_WRITE_BITS)
+       if (ret)
+               ret = 1;
+#endif
+       return ret;
+}
 
-#define        EEPROM_PAGE_SIZE        (1 << CONFIG_SYS_EEPROM_PAGE_WRITE_BITS)
-#define        EEPROM_PAGE_OFFSET(x)   ((x) & (EEPROM_PAGE_SIZE - 1))
+static int eeprom_rw(unsigned dev_addr, unsigned offset, uchar *buffer,
+                    unsigned cnt, bool read)
+{
+       unsigned end = offset + cnt;
+       unsigned alen, len;
+       int rcode = 0;
+       uchar addr[3];
 
-               maxlen = EEPROM_PAGE_SIZE - EEPROM_PAGE_OFFSET(blk_off);
-#else
-               maxlen = 0x100 - blk_off;
-#endif
-               if (maxlen > I2C_RXTX_LEN)
-                       maxlen = I2C_RXTX_LEN;
+       while (offset < end) {
+               alen = eeprom_addr(dev_addr, offset, addr);
 
-               if (len > maxlen)
-                       len = maxlen;
-#endif
+               len = eeprom_len(offset, end);
 
-#if defined(CONFIG_SPI) && !defined(CONFIG_ENV_EEPROM_IS_ON_I2C)
-               spi_write (addr, alen, buffer, len);
-#else
-#if defined(CONFIG_SYS_EEPROM_X40430)
-               /* Get the value of the control register.
-                * Set current address (internal pointer in the x40430)
-                * to 0x1ff.
-                */
-               contr_r_addr[0] = 9;
-               contr_r_addr[1] = 0xff;
-               addr_void[0]    = 0;
-               addr_void[1]    = addr[1];
-#ifdef CONFIG_SYS_I2C_EEPROM_ADDR
-               contr_r_addr[0] |= CONFIG_SYS_I2C_EEPROM_ADDR;
-               addr_void[0]    |= CONFIG_SYS_I2C_EEPROM_ADDR;
-#endif
-               contr_reg[0] = 0xff;
-               if (i2c_read (contr_r_addr[0], contr_r_addr[1], 1, contr_reg, 1) != 0) {
-                       rcode = 1;
-               }
-               ctrl_reg_v = contr_reg[0];
-
-               /* Are any of the eeprom blocks write protected?
-                */
-               if (ctrl_reg_v & 0x18) {
-                       ctrl_reg_v &= ~0x18;   /* reset block protect bits  */
-                       ctrl_reg_v |=  0x02;   /* set write enable latch    */
-                       ctrl_reg_v &= ~0x04;   /* clear RWEL                */
-
-                       /* Set write enable latch.
-                        */
-                       contr_reg[0] = 0x02;
-                       if (i2c_write (contr_r_addr[0], 0xff, 1, contr_reg, 1) != 0) {
-                               rcode = 1;
-                       }
-
-                       /* Set register write enable latch.
-                        */
-                       contr_reg[0] = 0x06;
-                       if (i2c_write (contr_r_addr[0], 0xFF, 1, contr_reg, 1) != 0) {
-                               rcode = 1;
-                       }
-
-                       /* Modify ctrl register.
-                        */
-                       contr_reg[0] = ctrl_reg_v;
-                       if (i2c_write (contr_r_addr[0], 0xFF, 1, contr_reg, 1) != 0) {
-                               rcode = 1;
-                       }
-
-                       /* The write (above) is an operation on NV memory.
-                        * These can take some time (~5ms), and the device
-                        * will not respond to further I2C messages till
-                        * it's completed the write.
-                        * So poll device for an I2C acknowledge.
-                        * When we get one we know we can continue with other
-                        * operations.
-                        */
-                       contr_reg[0] = 0;
-                       for (i = 0; i < MAX_ACKNOWLEDGE_POLLS; i++) {
-                               if (i2c_read (addr_void[0], addr_void[1], 1, contr_reg, 1) == 0)
-                                       break;  /* got ack */
-#if defined(CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS)
-                               udelay(CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS * 1000);
-#endif
-                       }
-                       if (i == MAX_ACKNOWLEDGE_POLLS) {
-                               puts ("EEPROM poll acknowledge failed\n");
-                               rcode = 1;
-                       }
-               }
-
-               /* Is the write enable latch on?.
-                */
-               else if (!(ctrl_reg_v & 0x02)) {
-                       /* Set write enable latch.
-                        */
-                       contr_reg[0] = 0x02;
-                       if (i2c_write (contr_r_addr[0], 0xFF, 1, contr_reg, 1) != 0) {
-                              rcode = 1;
-                       }
-               }
-               /* Write is enabled ... now write eeprom value.
-                */
-#endif
-#if defined(CONFIG_SYS_I2C_EEPROM_BUS)
-               i2c_set_bus_num(CONFIG_SYS_I2C_EEPROM_BUS);
-#endif
-               if (i2c_write(addr[0], offset, alen - 1, buffer, len))
-                       rcode = 1;
+               rcode = eeprom_rw_block(offset, addr, alen, buffer, len, read);
 
-#endif
                buffer += len;
                offset += len;
 
-#if defined(CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS)
-               udelay(CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS * 1000);
-#endif
+               if (!read)
+                       udelay(CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS * 1000);
        }
-#if defined(CONFIG_SYS_EEPROM_WREN)
-       eeprom_write_enable (dev_addr,0);
-#endif
+
        return rcode;
 }
 
-#if !defined(CONFIG_SPI) || defined(CONFIG_ENV_EEPROM_IS_ON_I2C)
-int
-eeprom_probe (unsigned dev_addr, unsigned offset)
+int eeprom_read(unsigned dev_addr, unsigned offset, uchar *buffer, unsigned cnt)
 {
-       unsigned char chip;
-
-       /* Probe the chip address
+       /*
+        * Read data until done or would cross a page boundary.
+        * We must write the address again when changing pages
+        * because the next page may be in a different device.
         */
-#if CONFIG_SYS_I2C_EEPROM_ADDR_LEN == 1 && !defined(CONFIG_SPI_X)
-       chip = offset >> 8;             /* block number */
-#else
-       chip = offset >> 16;            /* block number */
-#endif /* CONFIG_SYS_I2C_EEPROM_ADDR_LEN, CONFIG_SPI_X */
+       return eeprom_rw(dev_addr, offset, buffer, cnt, 1);
+}
+
+int eeprom_write(unsigned dev_addr, unsigned offset,
+                uchar *buffer, unsigned cnt)
+{
+       int ret;
+
+       eeprom_write_enable(dev_addr, 1);
 
-       chip |= dev_addr;               /* insert device address */
+       /*
+        * Write data until done or would cross a write page boundary.
+        * We must write the address again when changing pages
+        * because the address counter only increments within a page.
+        */
+       ret = eeprom_rw(dev_addr, offset, buffer, cnt, 1);
 
-       return (i2c_probe (chip));
+       eeprom_write_enable(dev_addr, 0);
+       return ret;
 }
-#endif
 
-/*-----------------------------------------------------------------------
- * Set default values
- */
-#ifndef        CONFIG_SYS_I2C_SPEED
-#define        CONFIG_SYS_I2C_SPEED    50000
+static int do_eeprom(cmd_tbl_t *cmdtp, int flag, int argc, char * const argv[])
+{
+       const char *const fmt =
+               "\nEEPROM @0x%lX %s: addr %08lx  off %04lx  count %ld ... ";
+       char * const *args = &argv[2];
+       int rcode;
+       ulong dev_addr, addr, off, cnt;
+       int bus_addr;
+
+       switch (argc) {
+#ifdef CONFIG_SYS_DEF_EEPROM_ADDR
+       case 5:
+               bus_addr = -1;
+               dev_addr = CONFIG_SYS_DEF_EEPROM_ADDR;
+               break;
 #endif
+       case 6:
+               bus_addr = -1;
+               dev_addr = simple_strtoul(*args++, NULL, 16);
+               break;
+       case 7:
+               bus_addr = simple_strtoul(*args++, NULL, 16);
+               dev_addr = simple_strtoul(*args++, NULL, 16);
+               break;
+       default:
+               return CMD_RET_USAGE;
+       }
 
-void eeprom_init  (void)
-{
+       addr = simple_strtoul(*args++, NULL, 16);
+       off = simple_strtoul(*args++, NULL, 16);
+       cnt = simple_strtoul(*args++, NULL, 16);
 
-#if defined(CONFIG_SPI) && !defined(CONFIG_ENV_EEPROM_IS_ON_I2C)
-       spi_init_f ();
-#endif
-#if defined(CONFIG_HARD_I2C) || defined(CONFIG_SYS_I2C_SOFT)
-       i2c_init (CONFIG_SYS_I2C_SPEED, CONFIG_SYS_I2C_SLAVE);
-#endif
-}
+       eeprom_init(bus_addr);
 
-/*-----------------------------------------------------------------------
- */
+       if (strcmp(argv[1], "read") == 0) {
+               printf(fmt, dev_addr, argv[1], addr, off, cnt);
+
+               rcode = eeprom_read(dev_addr, off, (uchar *)addr, cnt);
+
+               puts("done\n");
+               return rcode;
+       } else if (strcmp(argv[1], "write") == 0) {
+               printf(fmt, dev_addr, argv[1], addr, off, cnt);
 
-/***************************************************/
+               rcode = eeprom_write(dev_addr, off, (uchar *)addr, cnt);
 
-#if defined(CONFIG_CMD_EEPROM)
+               puts("done\n");
+               return rcode;
+       }
+
+       return CMD_RET_USAGE;
+}
 
-#ifdef CONFIG_SYS_I2C_MULTI_EEPROMS
 U_BOOT_CMD(
-       eeprom, 6,      1,      do_eeprom,
+       eeprom, 7,      1,      do_eeprom,
        "EEPROM sub-system",
-       "read  devaddr addr off cnt\n"
-       "eeprom write devaddr addr off cnt\n"
+       "read  <bus> <devaddr> addr off cnt\n"
+       "eeprom write <bus> <devaddr> addr off cnt\n"
        "       - read/write `cnt' bytes from `devaddr` EEPROM at offset `off'"
 )
-#else /* One EEPROM */
-U_BOOT_CMD(
-       eeprom, 5,      1,      do_eeprom,
-       "EEPROM sub-system",
-       "read  addr off cnt\n"
-       "eeprom write addr off cnt\n"
-       "       - read/write `cnt' bytes at EEPROM offset `off'"
-)
-#endif /* CONFIG_SYS_I2C_MULTI_EEPROMS */
-
-#endif
index b9d1c8c02bd9c0c51976271f80c9d98bcd5ef4e1..488822a2eed512f68360ed5838e2aa26d65ba546 100644 (file)
@@ -9,6 +9,7 @@
  */
 #include <common.h>
 #include <command.h>
+#include <console.h>
 #include <g_dnl.h>
 #include <usb.h>
 
index 1f1d00f28ac92c352fe345256f29174ead675309..5370c3e72d346f64a1548453779a5677df3079e0 100644 (file)
@@ -11,6 +11,7 @@
 
 #include <common.h>
 #include <command.h>
+#include <console.h>
 
 #include <gdsys_fpga.h>
 
index d4bc0f6c94a17a5e0084dc9ddd7fd5218a7badfc..5998f9b2eb0f930d015e838d3252ed2bd9d9e5bb 100644 (file)
@@ -11,6 +11,7 @@
 
 #include <common.h>
 #include <command.h>
+#include <console.h>
 #include <fuse.h>
 #include <asm/errno.h>
 
index e3c0297a1ce5bdb89f3c9d687296f064a9c67890..d94d5530bc6fab6194a92cdd4b089dbbcf1f24af 100644 (file)
@@ -1,6 +1,9 @@
 /*
  * cmd_gpt.c -- GPT (GUID Partition Table) handling command
  *
+ * Copyright (C) 2015
+ * Lukasz Majewski <l.majewski@majess.pl>
+ *
  * Copyright (C) 2012 Samsung Electronics
  * author: Lukasz Majewski <l.majewski@samsung.com>
  * author: Piotr Wilczek <p.wilczek@samsung.com>
@@ -15,6 +18,7 @@
 #include <exports.h>
 #include <linux/ctype.h>
 #include <div64.h>
+#include <memalign.h>
 
 #ifndef CONFIG_PARTITION_UUIDS
 #error CONFIG_PARTITION_UUIDS must be enabled for CONFIG_CMD_GPT to be enabled
@@ -117,6 +121,40 @@ static char *extract_val(const char *str, const char *key)
        return new;
 }
 
+/**
+ * found_key(): Found key without value in parameter list (comma separated).
+ *
+ * @param str - pointer to string with key
+ * @param key - pointer to the key to search for
+ *
+ * @return - true on found key
+ */
+static bool found_key(const char *str, const char *key)
+{
+       char *k;
+       char *s, *strcopy;
+       bool result = false;
+
+       strcopy = strdup(str);
+       if (!strcopy)
+               return NULL;
+
+       s = strcopy;
+       while (s) {
+               k = strsep(&s, ",");
+               if (!k)
+                       break;
+               if  (strcmp(k, key) == 0) {
+                       result = true;
+                       break;
+               }
+       }
+
+       free(strcopy);
+
+       return result;
+}
+
 /**
  * set_gpt_info(): Fill partition information from string
  *             function allocates memory, remember to free!
@@ -271,6 +309,10 @@ static int set_gpt_info(block_dev_desc_t *dev_desc,
                        parts[i].start = lldiv(start_ll, dev_desc->blksz);
                        free(val);
                }
+
+               /* bootable */
+               if (found_key(tok, "bootable"))
+                       parts[i].bootable = 1;
        }
 
        *parts_count = p_count;
@@ -293,9 +335,6 @@ static int gpt_default(block_dev_desc_t *blk_dev_desc, const char *str_part)
        u8 part_count = 0;
        disk_partition_t *partitions = NULL;
 
-       if (!str_part)
-               return -1;
-
        /* fill partitions */
        ret = set_gpt_info(blk_dev_desc, str_part,
                        &str_disk_guid, &partitions, &part_count);
@@ -317,6 +356,43 @@ static int gpt_default(block_dev_desc_t *blk_dev_desc, const char *str_part)
        return ret;
 }
 
+static int gpt_verify(block_dev_desc_t *blk_dev_desc, const char *str_part)
+{
+       ALLOC_CACHE_ALIGN_BUFFER_PAD(gpt_header, gpt_head, 1,
+                                    blk_dev_desc->blksz);
+       disk_partition_t *partitions = NULL;
+       gpt_entry *gpt_pte = NULL;
+       char *str_disk_guid;
+       u8 part_count = 0;
+       int ret = 0;
+
+       /* fill partitions */
+       ret = set_gpt_info(blk_dev_desc, str_part,
+                       &str_disk_guid, &partitions, &part_count);
+       if (ret) {
+               if (ret == -1) {
+                       printf("No partition list provided - only basic check\n");
+                       ret = gpt_verify_headers(blk_dev_desc, gpt_head,
+                                                &gpt_pte);
+                       goto out;
+               }
+               if (ret == -2)
+                       printf("Missing disk guid\n");
+               if ((ret == -3) || (ret == -4))
+                       printf("Partition list incomplete\n");
+               return -1;
+       }
+
+       /* Check partition layout with provided pattern */
+       ret = gpt_verify_partitions(blk_dev_desc, partitions, part_count,
+                                   gpt_head, &gpt_pte);
+       free(str_disk_guid);
+       free(partitions);
+ out:
+       free(gpt_pte);
+       return ret;
+}
+
 /**
  * do_gpt(): Perform GPT operations
  *
@@ -332,45 +408,49 @@ static int do_gpt(cmd_tbl_t *cmdtp, int flag, int argc, char * const argv[])
        int ret = CMD_RET_SUCCESS;
        int dev = 0;
        char *ep;
-       block_dev_desc_t *blk_dev_desc;
+       block_dev_desc_t *blk_dev_desc = NULL;
 
-       if (argc < 5)
+       if (argc < 4 || argc > 5)
                return CMD_RET_USAGE;
 
-       /* command: 'write' */
-       if ((strcmp(argv[1], "write") == 0) && (argc == 5)) {
-               dev = (int)simple_strtoul(argv[3], &ep, 10);
-               if (!ep || ep[0] != '\0') {
-                       printf("'%s' is not a number\n", argv[3]);
-                       return CMD_RET_USAGE;
-               }
-               blk_dev_desc = get_dev(argv[2], dev);
-               if (!blk_dev_desc) {
-                       printf("%s: %s dev %d NOT available\n",
-                              __func__, argv[2], dev);
-                       return CMD_RET_FAILURE;
-               }
-
-               puts("Writing GPT: ");
+       dev = (int)simple_strtoul(argv[3], &ep, 10);
+       if (!ep || ep[0] != '\0') {
+               printf("'%s' is not a number\n", argv[3]);
+               return CMD_RET_USAGE;
+       }
+       blk_dev_desc = get_dev(argv[2], dev);
+       if (!blk_dev_desc) {
+               printf("%s: %s dev %d NOT available\n",
+                      __func__, argv[2], dev);
+               return CMD_RET_FAILURE;
+       }
 
+       if ((strcmp(argv[1], "write") == 0) && (argc == 5)) {
+               printf("Writing GPT: ");
                ret = gpt_default(blk_dev_desc, argv[4]);
-               if (!ret) {
-                       puts("success!\n");
-                       return CMD_RET_SUCCESS;
-               } else {
-                       puts("error!\n");
-                       return CMD_RET_FAILURE;
-               }
+       } else if ((strcmp(argv[1], "verify") == 0)) {
+               ret = gpt_verify(blk_dev_desc, argv[4]);
+               printf("Verify GPT: ");
        } else {
                return CMD_RET_USAGE;
        }
-       return ret;
+
+       if (ret) {
+               printf("error!\n");
+               return CMD_RET_FAILURE;
+       }
+
+       printf("success!\n");
+       return CMD_RET_SUCCESS;
 }
 
 U_BOOT_CMD(gpt, CONFIG_SYS_MAXARGS, 1, do_gpt,
        "GUID Partition Table",
        "<command> <interface> <dev> <partitions_list>\n"
-       " - GUID partition table restoration\n"
-       " Restore GPT information on a device connected\n"
+       " - GUID partition table restoration and validity check\n"
+       " Restore or verify GPT information on a device connected\n"
        " to interface\n"
+       " Example usage:\n"
+       " gpt write mmc 0 $partitions\n"
+       " gpt verify mmc 0 $partitions\n"
 );
index 864b2596cca515d3e442a25a586fb79f56c33081..3d0de81c32f8fa55df2a6a304a8d5d2516484295 100644 (file)
@@ -69,6 +69,7 @@
 #include <bootretry.h>
 #include <cli.h>
 #include <command.h>
+#include <console.h>
 #include <dm.h>
 #include <edid.h>
 #include <environment.h>
index d043e6d7bcf2d6368ead958a89574720ce6c37d4..0aa7937fd4bfeed10a283bbdcd42c129350c0bf6 100644 (file)
@@ -10,6 +10,7 @@
  */
 #include <common.h>
 #include <command.h>
+#include <console.h>
 #include <s_record.h>
 #include <net.h>
 #include <exports.h>
index 43c3fb62bffec59d3e36adab0b25535918be4b18..9fb25840f10a2ec451b67b3c76c31127854e7e76 100644 (file)
  */
 
 #include <common.h>
+#include <console.h>
 #include <bootretry.h>
 #include <cli.h>
 #include <command.h>
+#include <console.h>
 #ifdef CONFIG_HAS_DATAFLASH
 #include <dataflash.h>
 #endif
index 5e9079da048784c1b01897c9e42f2e14a1a73232..7ef7532a502a01c955339f28269dc3fcee342c59 100644 (file)
@@ -314,6 +314,11 @@ static int do_mii(cmd_tbl_t *cmdtp, int flag, int argc, char * const argv[])
                        mask = simple_strtoul(argv[5], NULL, 16);
        }
 
+       if (addrhi > 31) {
+               printf("Incorrect PHY address. Range should be 0-31\n");
+               return CMD_RET_USAGE;
+       }
+
        /* use current device */
        devname = miiphy_get_current_dev();
 
index 93f9eabd6b547e1cfa30bbdfb5c6872aa015c626..39d86835cff76122cc5940f521e5e4e6f2c2b11d 100644 (file)
@@ -10,6 +10,7 @@
  */
 #include <common.h>
 #include <command.h>
+#include <console.h>
 
 static int do_sleep(cmd_tbl_t *cmdtp, int flag, int argc, char * const argv[])
 {
index 1335e3d344c4eb48f1227e53e519f2ef4b45c9e5..dfc1ec850e20a939bcecf24c1d377da84d034fd7 100644 (file)
@@ -7,6 +7,7 @@
 
 #include <common.h>
 #include <command.h>
+#include <console.h>
 #include <mmc.h>
 
 static int curr_device = -1;
index 1482462a509b78f098dffbc05c7309857f858100..a6b67e29f1cd349c4b21ba03103b2c7abd69a86e 100644 (file)
@@ -22,6 +22,7 @@
 #include <common.h>
 #include <linux/mtd/mtd.h>
 #include <command.h>
+#include <console.h>
 #include <watchdog.h>
 #include <malloc.h>
 #include <asm/byteorder.h>
index f4c2523f2fb1b1793b5e21f2f6de400aaf75da70..2f9cdd095a7b7bbaf4d47e5435eed7e3931a9bf5 100644 (file)
@@ -27,6 +27,7 @@
 #include <common.h>
 #include <cli.h>
 #include <command.h>
+#include <console.h>
 #include <environment.h>
 #include <search.h>
 #include <errno.h>
index 593bb8c650ca18fdb5418884d3941d0191021f83..10c1475c5a3de1a46081292aa98ebd671d05c872 100644 (file)
@@ -16,6 +16,7 @@
 #include <config.h>
 #include <common.h>
 #include <command.h>
+#include <console.h>
 
 #include <asm/blackfin.h>
 #include <asm/clock.h>
index dcecef8da859b467e08cf3cf0434658b758fb668..802e4330440e21e6a27fe08acafc58a5876e571a 100644 (file)
@@ -17,6 +17,7 @@
 #include <bootretry.h>
 #include <cli.h>
 #include <command.h>
+#include <console.h>
 #include <asm/processor.h>
 #include <asm/io.h>
 #include <pci.h>
index 1ef55dcd1c776705099d337060fc48cd3205775b..a540b422db3abb9c9498f74aa26fbf701bb08f84 100644 (file)
@@ -13,6 +13,7 @@
 
 #include <common.h>
 #include <command.h>
+#include <console.h>
 #include <dm.h>
 #include <memalign.h>
 #include <asm/byteorder.h>
@@ -428,7 +429,7 @@ static void usb_show_tree_graph(struct usb_device *dev, char *pre)
 }
 
 /* main routine for the tree command */
-static void usb_show_tree(struct usb_device *dev)
+static void usb_show_subtree(struct usb_device *dev)
 {
        char preamble[32];
 
@@ -436,6 +437,37 @@ static void usb_show_tree(struct usb_device *dev)
        usb_show_tree_graph(dev, &preamble[0]);
 }
 
+void usb_show_tree(void)
+{
+#ifdef CONFIG_DM_USB
+       struct udevice *bus;
+
+       for (uclass_first_device(UCLASS_USB, &bus);
+               bus;
+               uclass_next_device(&bus)) {
+               struct usb_device *udev;
+               struct udevice *dev;
+
+               device_find_first_child(bus, &dev);
+               if (dev && device_active(dev)) {
+                       udev = dev_get_parent_priv(dev);
+                       usb_show_subtree(udev);
+               }
+       }
+#else
+       struct usb_device *udev;
+       int i;
+
+       for (i = 0; i < USB_MAX_DEVICE; i++) {
+               udev = usb_get_dev_index(i);
+               if (udev == NULL)
+                       break;
+               if (udev->parent == NULL)
+                       usb_show_subtree(udev);
+       }
+#endif
+}
+
 static int usb_test(struct usb_device *dev, int port, char* arg)
 {
        int mode;
@@ -527,11 +559,14 @@ static void do_usb_start(void)
 
        /* Driver model will probe the devices as they are found */
 #ifndef CONFIG_DM_USB
-#ifdef CONFIG_USB_STORAGE
+# ifdef CONFIG_USB_STORAGE
        /* try to recognize storage devices immediately */
        usb_stor_curr_dev = usb_stor_scan(1);
-#endif
-#endif
+# endif
+# ifdef CONFIG_USB_KEYBOARD
+       drv_usb_kbd_init();
+# endif
+#endif /* !CONFIG_DM_USB */
 #ifdef CONFIG_USB_HOST_ETHER
 # ifdef CONFIG_DM_ETH
 #  ifndef CONFIG_DM_USB
@@ -542,9 +577,6 @@ static void do_usb_start(void)
        usb_ether_curr_dev = usb_host_eth_scan(1);
 # endif
 #endif
-#ifdef CONFIG_USB_KEYBOARD
-       drv_usb_kbd_init();
-#endif
 }
 
 #ifdef CONFIG_DM_USB
@@ -630,30 +662,7 @@ static int do_usb(cmd_tbl_t *cmdtp, int flag, int argc, char * const argv[])
        }
        if (strncmp(argv[1], "tree", 4) == 0) {
                puts("USB device tree:\n");
-#ifdef CONFIG_DM_USB
-               struct udevice *bus;
-
-               for (uclass_first_device(UCLASS_USB, &bus);
-                    bus;
-                    uclass_next_device(&bus)) {
-                       struct usb_device *udev;
-                       struct udevice *dev;
-
-                       device_find_first_child(bus, &dev);
-                       if (dev && device_active(dev)) {
-                               udev = dev_get_parent_priv(dev);
-                               usb_show_tree(udev);
-                       }
-               }
-#else
-               for (i = 0; i < USB_MAX_DEVICE; i++) {
-                       udev = usb_get_dev_index(i);
-                       if (udev == NULL)
-                               break;
-                       if (udev->parent == NULL)
-                               usb_show_tree(udev);
-               }
-#endif
+               usb_show_tree();
                return 0;
        }
        if (strncmp(argv[1], "inf", 3) == 0) {
index 198dab15baf0335b7746a055fd1e3ecf2a2d0e70..040738911290c63f86715ba93b8df963424e459f 100644 (file)
@@ -8,6 +8,7 @@
 #include <errno.h>
 #include <common.h>
 #include <command.h>
+#include <console.h>
 #include <g_dnl.h>
 #include <part.h>
 #include <usb.h>
index 972ae281c23215dc41170f819a796a4a97f1a420..858e28885a2cf0fe1ab6f409c2370305eebdca2f 100644 (file)
@@ -11,6 +11,7 @@
 
 #include <common.h>
 #include <command.h>
+#include <console.h>
 #include <linux/ctype.h>
 
 /*
index ace206ca4ff5dd87651c32da857fae762916f41c..bc37b6d962b0aa9eb2032a63b5d5c2044c329cb7 100644 (file)
@@ -6,6 +6,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <debug_uart.h>
 #include <stdarg.h>
 #include <iomux.h>
@@ -377,6 +378,15 @@ int getc(void)
        if (!gd->have_console)
                return 0;
 
+#ifdef CONFIG_CONSOLE_RECORD
+       if (gd->console_in.start) {
+               int ch;
+
+               ch = membuff_getbyte(&gd->console_in);
+               if (ch != -1)
+                       return 1;
+       }
+#endif
        if (gd->flags & GD_FLG_DEVINIT) {
                /* Get from the standard input */
                return fgetc(stdin);
@@ -395,7 +405,12 @@ int tstc(void)
 
        if (!gd->have_console)
                return 0;
-
+#ifdef CONFIG_CONSOLE_RECORD
+       if (gd->console_in.start) {
+               if (membuff_peekbyte(&gd->console_in) != -1)
+                       return 1;
+       }
+#endif
        if (gd->flags & GD_FLG_DEVINIT) {
                /* Test the standard input */
                return ftstc(stdin);
@@ -469,6 +484,10 @@ void putc(const char c)
                return;
        }
 #endif
+#ifdef CONFIG_CONSOLE_RECORD
+       if (gd && (gd->flags & GD_FLG_RECORD) && gd->console_out.start)
+               membuff_putbyte(&gd->console_out, c);
+#endif
 #ifdef CONFIG_SILENT_CONSOLE
        if (gd->flags & GD_FLG_SILENT)
                return;
@@ -512,6 +531,10 @@ void puts(const char *s)
                return;
        }
 #endif
+#ifdef CONFIG_CONSOLE_RECORD
+       if (gd && (gd->flags & GD_FLG_RECORD) && gd->console_out.start)
+               membuff_put(&gd->console_out, s, strlen(s));
+#endif
 #ifdef CONFIG_SILENT_CONSOLE
        if (gd->flags & GD_FLG_SILENT)
                return;
@@ -535,44 +558,31 @@ void puts(const char *s)
        }
 }
 
-int printf(const char *fmt, ...)
+#ifdef CONFIG_CONSOLE_RECORD
+int console_record_init(void)
 {
-       va_list args;
-       uint i;
-       char printbuffer[CONFIG_SYS_PBSIZE];
+       int ret;
 
-       va_start(args, fmt);
+       ret = membuff_new(&gd->console_out, CONFIG_CONSOLE_RECORD_OUT_SIZE);
+       if (ret)
+               return ret;
+       ret = membuff_new(&gd->console_in, CONFIG_CONSOLE_RECORD_IN_SIZE);
 
-       /* For this to work, printbuffer must be larger than
-        * anything we ever want to print.
-        */
-       i = vscnprintf(printbuffer, sizeof(printbuffer), fmt, args);
-       va_end(args);
-
-       /* Print the string */
-       puts(printbuffer);
-       return i;
+       return ret;
 }
 
-int vprintf(const char *fmt, va_list args)
+void console_record_reset(void)
 {
-       uint i;
-       char printbuffer[CONFIG_SYS_PBSIZE];
-
-#if defined(CONFIG_PRE_CONSOLE_BUFFER) && !defined(CONFIG_SANDBOX)
-       if (!gd->have_console)
-               return 0;
-#endif
-
-       /* For this to work, printbuffer must be larger than
-        * anything we ever want to print.
-        */
-       i = vscnprintf(printbuffer, sizeof(printbuffer), fmt, args);
+       membuff_purge(&gd->console_out);
+       membuff_purge(&gd->console_in);
+}
 
-       /* Print the string */
-       puts(printbuffer);
-       return i;
+void console_record_reset_enable(void)
+{
+       console_record_reset();
+       gd->flags |= GD_FLG_RECORD;
 }
+#endif
 
 /* test if ctrl-c was pressed */
 static int ctrlc_disabled = 0; /* see disable_ctrl() */
index eea169d48454d42705551528122b9f1ad531b3c0..72b13734f287efb7ff5db24db617a09a1d2f5814 100644 (file)
@@ -91,7 +91,7 @@ void env_relocate_spec(void)
        uchar rdbuf[64], flags[2];
        int i, crc_ok[2] = {0, 0};
 
-       eeprom_init();  /* prepare for EEPROM read/write */
+       eeprom_init(-1);        /* prepare for EEPROM read/write */
 
        off_env[0] = CONFIG_ENV_OFFSET;
        off_env[1] = CONFIG_ENV_OFFSET_REDUND;
@@ -154,7 +154,7 @@ void env_relocate_spec(void)
        ulong crc, len, new;
        uchar rdbuf[64];
 
-       eeprom_init();  /* prepare for EEPROM read/write */
+       eeprom_init(-1);        /* prepare for EEPROM read/write */
 
        /* read old CRC */
        eeprom_bus_read(CONFIG_SYS_DEF_EEPROM_ADDR,
index e0dc5af8513e60d680080593a2a2fa91797964f0..e611199a58d6d30a69e72dc5c95fa39e9577dff8 100644 (file)
@@ -181,8 +181,7 @@ void env_relocate_spec(void)
                return;
        }
 
-       if (ubi_volume_read(CONFIG_ENV_UBI_VOLUME, (void *)&buf,
-                           CONFIG_ENV_SIZE)) {
+       if (ubi_volume_read(CONFIG_ENV_UBI_VOLUME, buf, CONFIG_ENV_SIZE)) {
                printf("\n** Unable to read env from %s:%s **\n",
                       CONFIG_ENV_UBI_PART, CONFIG_ENV_UBI_VOLUME);
                set_default_env(NULL);
index 85c4f39cdf54a3388d19bfaf1d9c07a28a39022d..c36927fca8eb6beda1a9c05bab75ff1a2d33721b 100644 (file)
@@ -158,6 +158,7 @@ static const table_entry_t uimage_type[] = {
        {       IH_TYPE_RKIMAGE,    "rkimage",    "Rockchip Boot Image" },
        {       IH_TYPE_RKSD,       "rksd",       "Rockchip SD Boot Image" },
        {       IH_TYPE_RKSPI,      "rkspi",      "Rockchip SPI Boot Image" },
+       {       IH_TYPE_ZYNQIMAGE,  "zynqimage",  "Xilinx Zynq Boot Image" },
        {       -1,                 "",           "",                   },
 };
 
index 62bdec61aaf79deb9c6477dab015b7fb3e9b6392..3d8d00b4486e74a0c011e1c2986c7be997fb257a 100644 (file)
@@ -6,6 +6,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <serial.h>
 #include <malloc.h>
 
index ead0cd17aa8e37e3889ef5da184415a3332e9476..5a0318123bc77412156dd48b3994f1e14fffe3f0 100644 (file)
@@ -10,6 +10,7 @@
 #include <common.h>
 #include <autoboot.h>
 #include <cli.h>
+#include <console.h>
 #include <version.h>
 
 DECLARE_GLOBAL_DATA_PTR;
index ab4df20f6b878a69315e0aed883ccdd0fad95b36..8311ac768c90d0b0fc0b072215cfa5bd36070e2f 100644 (file)
@@ -11,6 +11,7 @@
 
 #include <config.h>
 #include <common.h>
+#include <dm.h>
 #include <errno.h>
 #include <stdarg.h>
 #include <malloc.h>
@@ -24,6 +25,8 @@
 #include <i2c.h>
 #endif
 
+#include <dm/device-internal.h>
+
 DECLARE_GLOBAL_DATA_PTR;
 
 static struct stdio_dev devs;
@@ -245,6 +248,32 @@ int stdio_init_tables(void)
 
 int stdio_add_devices(void)
 {
+#ifdef CONFIG_DM_KEYBOARD
+       struct udevice *dev;
+       struct uclass *uc;
+       int ret;
+
+       /*
+        * For now we probe all the devices here. At some point this should be
+        * done only when the devices are required - e.g. we have a list of
+        * input devices to start up in the stdin environment variable. That
+        * work probably makes more sense when stdio itself is converted to
+        * driver model.
+        *
+        * TODO(sjg@chromium.org): Convert changing uclass_first_device() etc.
+        * to return the device even on error. Then we could use that here.
+        */
+       ret = uclass_get(UCLASS_KEYBOARD, &uc);
+       if (ret)
+               return ret;
+
+       /* Don't report errors to the caller - assume that they are non-fatal */
+       uclass_foreach_dev(dev, uc) {
+               ret = device_probe(dev);
+               if (ret)
+                       printf("Failed to probe keyboard '%s'\n", dev->name);
+       }
+#endif
 #ifdef CONFIG_SYS_I2C
        i2c_init_all();
 #else
@@ -258,7 +287,7 @@ int stdio_add_devices(void)
 #if defined(CONFIG_VIDEO) || defined(CONFIG_CFB_CONSOLE)
        drv_video_init ();
 #endif
-#ifdef CONFIG_KEYBOARD
+#if defined(CONFIG_KEYBOARD) && !defined(CONFIG_DM_KEYBOARD)
        drv_keyboard_init ();
 #endif
 #ifdef CONFIG_LOGBUFFER
index a92c9fb73d01c6fe774bb29adcf8235bc988e220..e1de813adf82225ab4f7204088a698eeb782b0e4 100644 (file)
@@ -31,6 +31,9 @@
 #include <asm/unaligned.h>
 #include <linux/ctype.h>
 #include <asm/byteorder.h>
+#ifdef CONFIG_SANDBOX
+#include <asm/state.h>
+#endif
 #include <asm/unaligned.h>
 #include <dm/root.h>
 
@@ -466,7 +469,12 @@ static int usb_hub_configure(struct usb_device *dev)
                unsigned short portstatus, portchange;
                int ret;
                ulong start = get_timer(0);
+               uint delay = CONFIG_SYS_HZ;
 
+#ifdef CONFIG_SANDBOX
+               if (state_get_skip_delays())
+                       delay = 0;
+#endif
 #ifdef CONFIG_DM_USB
                debug("\n\nScanning '%s' port %d\n", dev->dev->name, i + 1);
 #else
@@ -498,7 +506,7 @@ static int usb_hub_configure(struct usb_device *dev)
                        if (portstatus & USB_PORT_STAT_CONNECTION)
                                break;
 
-               } while (get_timer(start) < CONFIG_SYS_HZ * 1);
+               } while (get_timer(start) < delay);
 
                if (ret < 0)
                        continue;
index 0302e5bc933be8b3581f608b1f7fee19febd0878..069fbd2de7d848d7f1b29c19f0be4b2a0fef959d 100644 (file)
@@ -8,6 +8,7 @@
  * SPDX-License-Identifier:    GPL-2.0+
  */
 #include <common.h>
+#include <console.h>
 #include <dm.h>
 #include <errno.h>
 #include <malloc.h>
@@ -399,7 +400,7 @@ static int usb_kbd_getc(struct stdio_dev *sdev)
 }
 
 /* probes the USB device dev for keyboard type. */
-static int usb_kbd_probe(struct usb_device *dev, unsigned int ifnum)
+static int usb_kbd_probe_dev(struct usb_device *dev, unsigned int ifnum)
 {
        struct usb_interface *iface;
        struct usb_endpoint_descriptor *ep;
@@ -410,13 +411,13 @@ static int usb_kbd_probe(struct usb_device *dev, unsigned int ifnum)
 
        iface = &dev->config.if_desc[ifnum];
 
-       if (iface->desc.bInterfaceClass != 3)
+       if (iface->desc.bInterfaceClass != USB_CLASS_HID)
                return 0;
 
-       if (iface->desc.bInterfaceSubClass != 1)
+       if (iface->desc.bInterfaceSubClass != USB_SUB_HID_BOOT)
                return 0;
 
-       if (iface->desc.bInterfaceProtocol != 1)
+       if (iface->desc.bInterfaceProtocol != USB_PROT_HID_KEYBOARD)
                return 0;
 
        if (iface->desc.bNumEndpoints != 1)
@@ -496,7 +497,7 @@ static int probe_usb_keyboard(struct usb_device *dev)
        int error;
 
        /* Try probing the keyboard */
-       if (usb_kbd_probe(dev, 0) != 1)
+       if (usb_kbd_probe_dev(dev, 0) != 1)
                return -ENOENT;
 
        /* Register the keyboard */
@@ -533,41 +534,13 @@ static int probe_usb_keyboard(struct usb_device *dev)
        return 0;
 }
 
+#ifndef CONFIG_DM_USB
 /* Search for keyboard and register it if found. */
 int drv_usb_kbd_init(void)
 {
        int error, i;
 
        debug("%s: Probing for keyboard\n", __func__);
-#ifdef CONFIG_DM_USB
-       /*
-        * TODO: We should add U_BOOT_USB_DEVICE() declarations to each USB
-        * keyboard driver and then most of this file can be removed.
-        */
-       struct udevice *bus;
-       struct uclass *uc;
-       int ret;
-
-       ret = uclass_get(UCLASS_USB, &uc);
-       if (ret)
-               return ret;
-       uclass_foreach_dev(bus, uc) {
-               for (i = 0; i < USB_MAX_DEVICE; i++) {
-                       struct usb_device *dev;
-
-                       dev = usb_get_dev_index(bus, i); /* get device */
-                       debug("i=%d, %p\n", i, dev);
-                       if (!dev)
-                               break; /* no more devices available */
-
-                       error = probe_usb_keyboard(dev);
-                       if (!error)
-                               return 1;
-                       if (error && error != -ENOENT)
-                               return error;
-               } /* for */
-       }
-#else
        /* Scan all USB Devices */
        for (i = 0; i < USB_MAX_DEVICE; i++) {
                struct usb_device *dev;
@@ -586,11 +559,11 @@ int drv_usb_kbd_init(void)
                if (error && error != -ENOENT)
                        return error;
        }
-#endif
 
        /* No USB Keyboard found */
        return -1;
 }
+#endif
 
 /* Deregister the keyboard. */
 int usb_kbd_deregister(int force)
@@ -622,3 +595,43 @@ int usb_kbd_deregister(int force)
        return 1;
 #endif
 }
+
+#ifdef CONFIG_DM_USB
+
+static int usb_kbd_probe(struct udevice *dev)
+{
+       struct usb_device *udev = dev_get_parent_priv(dev);
+       int ret;
+
+       ret = probe_usb_keyboard(udev);
+
+       return ret;
+}
+
+static const struct udevice_id usb_kbd_ids[] = {
+       { .compatible = "usb-keyboard" },
+       { }
+};
+
+U_BOOT_DRIVER(usb_kbd) = {
+       .name   = "usb_kbd",
+       .id     = UCLASS_KEYBOARD,
+       .of_match = usb_kbd_ids,
+       .probe = usb_kbd_probe,
+};
+
+static const struct usb_device_id kbd_id_table[] = {
+       {
+               .match_flags = USB_DEVICE_ID_MATCH_INT_CLASS |
+                       USB_DEVICE_ID_MATCH_INT_SUBCLASS |
+                       USB_DEVICE_ID_MATCH_INT_PROTOCOL,
+               .bInterfaceClass = USB_CLASS_HID,
+               .bInterfaceSubClass = USB_SUB_HID_BOOT,
+               .bInterfaceProtocol = USB_PROT_HID_KEYBOARD,
+       },
+       { }             /* Terminating entry */
+};
+
+U_BOOT_USB_DEVICE(usb_kbd, kbd_id_table);
+
+#endif
index 1919502e651e241882dafd01b66b10eba4a21c47..0d18e8da8c55c6c11e517e3fc66dbc8e17d2c398 100644 (file)
@@ -22,6 +22,6 @@ CONFIG_MTD=y
 CONFIG_ALTERA_QSPI=y
 CONFIG_DM_ETH=y
 CONFIG_ALTERA_TSE=y
-CONFIG_ALTERA_UART=y
+CONFIG_SYS_NS16550=y
 CONFIG_TIMER=y
 CONFIG_ALTERA_TIMER=y
index af96f5bd121554f101055e7e11c2aa3d4d919eaf..426ee79683d82ec6960c9e5be739dc0f2a4aae25 100644 (file)
@@ -13,4 +13,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_EMAC,AHCI,SATAPWR=SUNXI_GPC(3)"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index cba2cfdf3cdf62bb116f5b570406f48786cba972..ebf961490fe716a3d56c0bc6e463aac3ed36e687 100644 (file)
@@ -15,4 +15,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_EMAC"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_AXP152_POWER=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 45cc5ab6db1e4c3e48d35fad4f9c6f3b25d2fa9d..2d6736b789c5a97a8e832e59d78d6572bc8e3459 100644 (file)
@@ -19,4 +19,5 @@ CONFIG_SYS_EXTRA_OPTIONS="CONS_INDEX=2"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SUNXI_NO_PMIC=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 0357803309d5c7ef3a18df8c32892032122f7733..ee07c614381c25bef6c3cabbb570563b6ede91f3 100644 (file)
@@ -12,8 +12,6 @@ CONFIG_VIDEO_VGA_VIA_LCD=y
 CONFIG_VIDEO_VGA_VIA_LCD_FORCE_SYNC_ACTIVE_HIGH=y
 CONFIG_VIDEO_LCD_POWER="AXP0-0"
 CONFIG_VIDEO_LCD_BL_PWM="PB2"
-CONFIG_USB_MUSB_SUNXI=y
-CONFIG_USB_MUSB_GADGET=y
 CONFIG_DEFAULT_DEVICE_TREE="sun5i-a13-olinuxino"
 # CONFIG_SYS_MALLOC_CLEAR_ON_INIT is not set
 CONFIG_SPL=y
@@ -22,4 +20,6 @@ CONFIG_SYS_EXTRA_OPTIONS="CONS_INDEX=2"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
+CONFIG_USB_MUSB_GADGET=y
index b5181c6880ac6c911e58baf4ee7a8402e65efacf..5008b013da36320599fdd2719a8c0167f6be0f1e 100644 (file)
@@ -14,4 +14,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,RGMII,AHCI,SATAPWR=SUNXI_GPC(3)"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index e27698d0dd7df055b4c1439b218550d726f16ef8..a26c3ff1d23c3d19c3e7aee31429994656659f0d 100644 (file)
@@ -12,4 +12,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,AHCI,SATAPWR=SUNXI_GPC(3)"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 03481f62889307e069173b471c9df236698368a8..41b2f8f6ebe5c6ada20ae612621d5fb4e9cfae80 100644 (file)
@@ -15,4 +15,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,AHCI,SATAPWR=SUNXI_GPB(8)"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index f616388c8e7eda8dd0ad24390e61a5d74da10410..5166c0616c7b5740553414c3974bf52603ad6094 100644 (file)
@@ -14,4 +14,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,RGMII,AHCI,SATAPWR=SUNXI_GPC(3)"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 67bea5ad465b647f155fffd42733a095768179ec..2ef21383d0e966259e363bd85e641f9014a125e6 100644 (file)
@@ -18,4 +18,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
index 82e01babc1e712b53ec7c2eae53ad73d8bfee8da..38126c86a0142edd390cd109cbffb6e257c17ae7 100644 (file)
@@ -20,4 +20,5 @@ CONFIG_SYS_EXTRA_OPTIONS="CONS_INDEX=2"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
index 8f1be3e5719d37a3c83e9f7accb0d0b87d9038ac..60a3fec2b78f225e37f879add87e12e1f19f5a10 100644 (file)
@@ -13,4 +13,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_AXP152_POWER=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 434b0076778b18cf17192000b1f31ae938420547..1d44a74adc7725ba51142e57ca4563de1507b009 100644 (file)
@@ -11,4 +11,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_AXP152_POWER=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 986eda67dcfd2244ad6c828994172bfc6f3b161f..e50833aba8d3ef92e38995f4a52cbd11f405c059 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_B4860QDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_B4420,RAMBOOT_PBL,SPL_FSL_PBL,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 5c6a319f7a4da485f948e8c775bcc372213080cc..b53a1cf8e4532943e184186a1b4c70477f940dc2 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_B4860QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_B4420,RAMBOOT_PBL,SPIFLASH,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 8568f7dc21910e3ce125134573f1a82514d4e625..8308b95c546e9d8165bdd899f9a117f4cc4e3094 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_B4860QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_B4420"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index a48ada4e7ef4cbeec003f381dba174803f26692a..a8f05db55859941e2deacb3d0755b3095ae98153 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_B4860QDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_B4860,RAMBOOT_PBL,SPL_FSL_PBL,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 60ff21825f1d769621a97097bd22be5c7fd3012e..1a61dc367309a9cb172c4dd862362cf504c7d83a 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_B4860QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_B4860,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 7e8449e337373c87825d30ccad3f9d8bd95e7751..0875ee7bf0abc6fab7510458cfd24264980b2ae6 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_B4860QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_B4860,RAMBOOT_PBL,SPIFLASH,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 5e3fd5021921eef7bc7f6fdf9bbc6c79cfc932f9..11db5bcca36b5b8b790c627817947a63f8e2dfe8 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SYS_EXTRA_OPTIONS="PPC_B4860,SRIO_PCIE_BOOT_SLAVE,SYS_TEXT_BASE=0xFFF4000
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index d5c754516ed8f7309a3a84bb777993cbdc5c2ec5..54ceec7b82a0cbce0759dd78fc5b005687376f75 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_B4860QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_B4860"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 90aa8656ead928cf5a4d4ec4bcbabc2c8a651863..5e0e09bd6e9ceb3a5bdc8648643f53155e7e3466 100644 (file)
@@ -6,3 +6,6 @@ CONFIG_SYS_EXTRA_OPTIONS="BSC9131RDB,NAND,SYS_CLK_100"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 9cd68f024d290f3bbe98e32ce467390764aa916d..4f666f1f3fbcf4a15474d3470774c93139f13b89 100644 (file)
@@ -6,3 +6,6 @@ CONFIG_SYS_EXTRA_OPTIONS="BSC9131RDB,NAND"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index d90d7a00bf432e00a10497d468e40377f6b096e5..ca90c83df210ef4ef7c8fd12a8f3d7ffd8a9bb52 100644 (file)
@@ -5,3 +5,6 @@ CONFIG_SYS_EXTRA_OPTIONS="BSC9131RDB,SPIFLASH,SYS_CLK_100"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 4ba8d6237d60890570037d6de43d4f6f4f98354d..2902a681fe426e76f07c9b14130e7da1e1b3839e 100644 (file)
@@ -5,3 +5,6 @@ CONFIG_SYS_EXTRA_OPTIONS="BSC9131RDB,SPIFLASH"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index b123f9e6d5a04dadf0ccc66f99366d895296fff0..15e386251edb244151e4b4f3f4b1d947eb4b9b15 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_BSC9132QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="BSC9132QDS,NAND_SECBOOT,SYS_CLK_100_DDR_100,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 0da87b312f7b957106ce5802d0d7ea8cb1d1b514..48f1c3c593b93f5df684909b0ac9e72f9efc7c2b 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_BSC9132QDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="BSC9132QDS,NAND,SYS_CLK_100_DDR_100"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index d8ad344a83678f7ab63fff8f66c02dd8d664789d..b909789c6dda7f787d34035450afbf8b0c6047a5 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_BSC9132QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="BSC9132QDS,NAND_SECBOOT,SYS_CLK_100_DDR_133,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 738c4903a9b226915fcabf9909db595b26cf4f04..06b411dcb58c8e1bb886642b0744f08cc919b394 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_BSC9132QDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="BSC9132QDS,NAND,SYS_CLK_100_DDR_133"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index c468137e6953084a9164776afb384ce23f10ce00..6f37d36d94d265c4aa7ed3b3bd2c2fb43fcc28ee 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_BSC9132QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="BSC9132QDS,SYS_CLK_100_DDR_100,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 31a5223cddb20419343a75080ecee532cea6adc6..4993dc91ba8c4db3155bd55f4345d52b98f13325 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_BSC9132QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="BSC9132QDS,SYS_CLK_100_DDR_100"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 726c8ff7defbd7d5143ef2affa1023da90f42dc7..d69047e2ca97b362dc25cbeb88bececc8e2cdfa2 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_BSC9132QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="BSC9132QDS,SYS_CLK_100_DDR_133,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index ff4e4b4875cf3af02b95691068f87e5a7c1f0419..f9129caab3cc111e935d764fe7358a65623c8d1c 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_BSC9132QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="BSC9132QDS,SYS_CLK_100_DDR_133"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 61725cd764d542b15dc25041ea72cecfa6b2dc3a..d11e9e3897f798e4b2623d75ae9346f1bca69bbf 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_BSC9132QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="BSC9132QDS,SDCARD,SYS_CLK_100_DDR_100,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 484857a3e0f334341b98e2bdfd3660fcc0e8613d..4c22ce676cdf9765b397f8b95f0ced300bd64b9f 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_BSC9132QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="BSC9132QDS,SDCARD,SYS_CLK_100_DDR_100"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index c4a772a66832de743477acb7c579771421edfebf..f350c34b8d4c8ce1ac1ed6253ac4c8aeac4629eb 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_BSC9132QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="BSC9132QDS,SDCARD,SYS_CLK_100_DDR_133,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index fcada6d0a6d08f94531136c5d9550ea271c90053..1862f0caef623ba1fd646e582d6e5126dbc48b13 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_BSC9132QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="BSC9132QDS,SDCARD,SYS_CLK_100_DDR_133"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 9282e0e3ac7afd68c596cb447cd6b92ba1aeeaff..5173a5bf92fa549304402235db05ba50701eb7ad 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_BSC9132QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="BSC9132QDS,SPIFLASH,SYS_CLK_100_DDR_100,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index f6ad1e917c688a9d51400d11d25f31fa7d9192c5..a1a5cd34153ab2ebdd2520f1e262fa32362114f1 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_BSC9132QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="BSC9132QDS,SPIFLASH,SYS_CLK_100_DDR_100"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index f3c57028c1f72a9e619e69ee7e2126c80b8c2629..14ed19043ea8e07a6cd5eea4cbf1c65f8cf7021a 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_BSC9132QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="BSC9132QDS,SPIFLASH,SYS_CLK_100_DDR_133,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 7f3554d9e4b4e35f2a8c152b80dae5268b3e667b..6e5fbaf0207123923c11b76524ea3d2399c22a3f 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_BSC9132QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="BSC9132QDS,SPIFLASH,SYS_CLK_100_DDR_133"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 6cbb76c5f75b15daffda6c1b01f0507673cc37ee..f0c9d183b2b69cb251242a0b8a7aead9d85fab61 100644 (file)
@@ -14,4 +14,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,RGMII,MACPWR=SUNXI_GPH(23),AHCI"
 CONFIG_CMD_GPIO=y
 CONFIG_NETCONSOLE=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 40588b9326838c8ae03aebf87c85bfb79137ae43..08fca2f174b22afd5de55dccf962434b259964e2 100644 (file)
@@ -16,4 +16,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,RGMII,MACPWR=SUNXI_GPH(23),AHCI"
 CONFIG_CMD_GPIO=y
 CONFIG_NETCONSOLE=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index fd26ceaa0ef81f370fb6a0d4d9edf49476e96310..bef377115c39f82aa80a03274366b3322849427b 100644 (file)
@@ -5,5 +5,9 @@ CONFIG_SPL=y
 CONFIG_TPL=y
 CONFIG_SYS_EXTRA_OPTIONS="C29XPCIE,36BIT,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index bcc2701e6a3d587a0524deaa66186e8af9452760..110e597670ee95b06cb473ee1e89ee22cb401926 100644 (file)
@@ -3,5 +3,9 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_C29XPCIE=y
 CONFIG_SYS_EXTRA_OPTIONS="C29XPCIE,36BIT,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 2f151fac264e1e5b6412867512e3d41b4146779d..de0beb6db4a0ec37700b5822461c82b161fda71e 100644 (file)
@@ -3,5 +3,9 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_C29XPCIE=y
 CONFIG_SYS_EXTRA_OPTIONS="C29XPCIE,36BIT,SPIFLASH,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 8057f9cb9f1d40dec2c4f33e1f1a3795f06a2c62..d2d9262b783a205c1ad452e8b9c716627b66b6d8 100644 (file)
@@ -3,5 +3,9 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_C29XPCIE=y
 CONFIG_SYS_EXTRA_OPTIONS="C29XPCIE,36BIT,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 11610d5480c717bba4212bcc8dcae9c02a047670..373db16fb0a10479bbdf2a3cfd107fdf335904c5 100644 (file)
@@ -3,5 +3,9 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_C29XPCIE=y
 CONFIG_SYS_EXTRA_OPTIONS="C29XPCIE,36BIT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 78b2c511bfa2a1d559018fe96e51e6eaf52642ec..4d76ae519df74b8a56cc0bd48a0069fbfc1a32f5 100644 (file)
@@ -12,4 +12,5 @@ CONFIG_CMD_GPIO=y
 CONFIG_AXP_DCDC2_VOLT=1300
 CONFIG_AXP_ALDO3_VOLT=3300
 CONFIG_AXP_ALDO4_VOLT=3300
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_GADGET=y
index 9f98014dc332a971d73ddd7825dcf0340927ed19..1c821ba1651e4b718e68ec23f79e894768c50311 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_CPCI2DP=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index c4fac4144b23a747c9a809baab5778c766012996..ba4a13ea23b0cc62cf29c9b8e0cfea722f2377e3 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_AUTOBOOT_KEYED=y
 CONFIG_AUTOBOOT_PROMPT="Press SPACE to abort autoboot in %d seconds\n"
 CONFIG_AUTOBOOT_STOP_STR=" "
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index e027a47d5030595ca9388462c353208c6705becd..280e70f8f11532f25e746d49fdaadffd4085d2c2 100644 (file)
@@ -15,5 +15,6 @@ CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
 CONFIG_AXP_ALDO1_VOLT=3300
 CONFIG_AXP_DLDO1_VOLT=3300
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
 CONFIG_USB_MUSB_HOST=y
index dedf772fc647980806b80a914f746bf3380b48b2..2b2a2397972ea6f1f371a6c9fe34e56ebe8605b9 100644 (file)
@@ -18,6 +18,7 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
 CONFIG_VIDEO_LCD_SPI_CS="PA0"
 CONFIG_VIDEO_LCD_SPI_SCLK="PA1"
index feaeb9855ee1eca597ebe9fa83780be32cfc3e74..e95deb16a06d35a996e1877ce822fa84e8024751 100644 (file)
@@ -24,4 +24,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,RGMII"
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
 CONFIG_AXP_ALDO1_VOLT=3300
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 2f5e75d760ec03204007e9969156ff322f6a4c7d..7b407e79fa213e7a886b42bb764f9641d4be8064 100644 (file)
@@ -12,4 +12,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,AHCI,SATAPWR=SUNXI_GPB(8)"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index a45fbb0a1214f5a68180d5c03b0f6e20c458ee2a..ee5ab3d65891fe9e1e7bc135fffe7f7ccd82aa65 100644 (file)
@@ -11,4 +11,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_EMAC,AHCI,SATAPWR=SUNXI_GPB(8)"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 4f4f6d4905ca80113010a460b26e2cf0a593b773..3fe3e2bb0cccc5f774ace1c6ba6f5dd45d74e267 100644 (file)
@@ -3,6 +3,9 @@ CONFIG_ARCH_SUNXI=y
 CONFIG_MACH_SUN7I=y
 CONFIG_DRAM_CLK=432
 CONFIG_MMC0_CD_PIN="PH1"
+CONFIG_USB0_VBUS_PIN="PH17"
+CONFIG_USB0_VBUS_DET="PH22"
+CONFIG_USB0_ID_DET="PH19"
 CONFIG_VIDEO_VGA=y
 CONFIG_GMAC_TX_DELAY=1
 CONFIG_DEFAULT_DEVICE_TREE="sun7i-a20-cubietruck"
@@ -14,9 +17,6 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,RGMII,AHCI,SATAPWR=SUNXI_GPH(12)"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
-CONFIG_USB0_ID_DET="PH19"
-CONFIG_USB0_VBUS_DET="PH22"
-CONFIG_USB0_VBUS_PIN="PH17"
-CONFIG_USB_MUSB_SUNXI=y
 CONFIG_USB_MUSB_GADGET=y
index 280e41767926efd726ef9158fe2852e0d429bc17..e4deda99c2760dd3331ebe25d0c4603c8a9084e3 100644 (file)
@@ -7,3 +7,5 @@ CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SYS_TEXT_BASE=0xFFF40000,PPC_P5020"
 # CONFIG_CMD_FLASH is not set
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index d34c05b6dd22fd7a59432c00fa616f33d477145a..026d37afd15c1c8bccdc242ab64c456c7d5838e0 100644 (file)
@@ -7,3 +7,5 @@ CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SYS_TEXT_BASE=0xFFF40000,PPC_P5040"
 # CONFIG_CMD_FLASH is not set
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 83f20049073829f16ef3412f312e64bbc2a6fa2f..5af42e0a9a063159ea6995d17423fed5bd42cff3 100644 (file)
@@ -16,4 +16,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,RGMII,MACPWR=SUNXI_GPA(21)"
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
 CONFIG_AXP_ALDO1_VOLT=3300
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 45739cd81f53bd7ebe940e5ea167308a6f141fcf..99ad34b8e5963b6f478cb093e1d8cb51b346a4ab 100644 (file)
@@ -19,4 +19,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
diff --git a/configs/Lamobo_R1_defconfig b/configs/Lamobo_R1_defconfig
new file mode 100644 (file)
index 0000000..c98221f
--- /dev/null
@@ -0,0 +1,17 @@
+CONFIG_ARM=y
+CONFIG_ARCH_SUNXI=y
+CONFIG_MACH_SUN7I=y
+CONFIG_DRAM_CLK=432
+CONFIG_MMC0_CD_PIN="PH10"
+CONFIG_GMAC_TX_DELAY=4
+CONFIG_DEFAULT_DEVICE_TREE="sun7i-a20-lamobo-r1"
+# CONFIG_SYS_MALLOC_CLEAR_ON_INIT is not set
+CONFIG_SPL=y
+CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,RGMII,MACPWR=SUNXI_GPH(23),AHCI,SATAPWR=SUNXI_GPB(3)"
+# CONFIG_CMD_IMLS is not set
+# CONFIG_CMD_FLASH is not set
+# CONFIG_CMD_FPGA is not set
+CONFIG_CMD_GPIO=y
+CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
+CONFIG_USB_EHCI_HCD=y
index ca3b1dd6adb17073d3e8c395c1b00a8dbc8488a4..d10e7f4af809290d259ca3dae62b2d77e18088d5 100644 (file)
@@ -14,4 +14,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,RGMII,AHCI,SATAPWR=SUNXI_GPH(2)"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 1f245e72f5dc719d12abf1c8d9fd2bbd73a408c1..81615bdfbe0c5773f4c6431f6ec9ecdbc7946365 100644 (file)
@@ -12,4 +12,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,AHCI,SATAPWR=SUNXI_GPH(2)"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 7e2f942a31c6b8525384bf9e57cb9a94f2f7856d..d05b16616cabda28e47e4405b8e2e4862ff24593 100644 (file)
@@ -11,4 +11,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_EMAC"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index ccb88236b8bd3b73e433cf4a4109b321a19190b6..b2ad88a66f22e9a8a2b49a20a466b62b16605514 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_PROMPT="-> "
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 1ee9c8bbb1b2c67e529f648658257e4f2c5db8b6..ea393561f1bb90988aa3497eeae0c6c9fb1fbfa3 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_EXTRA_OPTIONS="CF_SBF,SYS_STMICRO_BOOT,SYS_TEXT_BASE=0x43E00000"
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index bc47ed6840f01878497b558e7d059573f68cf7b6..7c22abe61b2837487f8e8c32f35a04e58200b82b 100644 (file)
@@ -8,3 +8,4 @@ CONFIG_SYS_PROMPT="-> "
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index 949be9aaaab8a9c3fc40c598086c4ecef71e2702..373845b4a013d172f3b36ce815d5f4dab276e318 100644 (file)
@@ -8,3 +8,4 @@ CONFIG_SYS_PROMPT="-> "
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index 4035ea9bb5be380a041e9cfc72b10d12b655f655..08c45b21d74877261a1a79c28aab148b6b1aec05 100644 (file)
@@ -8,3 +8,4 @@ CONFIG_SYS_PROMPT="-> "
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index d89a58714c103f19e6629dfa2dc09899b9834ee7..c5bf10e823008aa66f3a56b5f5e1002bc884c724 100644 (file)
@@ -8,3 +8,4 @@ CONFIG_SYS_PROMPT="-> "
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index cd383c286c8428fdcc4cff3849e9fc47e54ba360..9e81827f8135ee8c8275700367f02e44bb834fc7 100644 (file)
@@ -8,3 +8,4 @@ CONFIG_SYS_PROMPT="-> "
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index bc47ed6840f01878497b558e7d059573f68cf7b6..7c22abe61b2837487f8e8c32f35a04e58200b82b 100644 (file)
@@ -8,3 +8,4 @@ CONFIG_SYS_PROMPT="-> "
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index 2115263faf366ef4664a5e09a42ac499a1505bf8..3b96dfb94c5866202955e03351cd24a231bd0067 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_PROMPT="-> "
 # CONFIG_CMD_LOADS is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 9b694153e92e57d076ec6516a8e841481deff11b..21a2687faa36c82fdfc08b841ca83d0c44403dde 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_EXTRA_OPTIONS="CF_SBF,SYS_STMICRO_BOOT,SYS_TEXT_BASE=0x47e00000,SYS_I
 # CONFIG_CMD_LOADS is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index e82d0bdf998d122fda392656ffcd0369a2949287..e8102732e94f1e0a98b2695b4ced18d4c6ce707e 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SYS_ATMEL_BOOT,SYS_TEXT_BASE=0x04000000,SYS_INPUT_CLKS
 # CONFIG_CMD_LOADS is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 20bf103e1aa0eabeae40d0fd9166392816511af6..3d9cb12d606551ac65332d106a5718d10cd3a296 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_PROMPT="-> "
 # CONFIG_CMD_LOADS is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index bc7d7079f0c9808f43527a6b32fa5feb3b7bf4af..b5cbfdc45347709bf473db70bcbcfa36d2f211a8 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SYS_INTEL_BOOT,SYS_TEXT_BASE=0x00000000,SYS_INPUT_CLKS
 # CONFIG_CMD_LOADS is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index cb5b4bd75aeb7fbe94cb4a1669c1cf10ef6bbdaa..8ed05a6fe1d619e312fa1a30771279ec27f30580 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SYS_INTEL_BOOT,SYS_TEXT_BASE=0x00000000,SYS_INPUT_CLKS
 # CONFIG_CMD_LOADS is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index a79f9491eff0fb108a0683406a363bdfbb483520..0a6458011051ef74c6fc047d23453d017f59274c 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SYS_STMICRO_BOOT,CF_SBF,SYS_TEXT_BASE=0x4FE00000,SYS_I
 # CONFIG_CMD_LOADS is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 22b82b157c6dfe0deac498f2998fa2b3bbc37a94..799a1b6444f196c1d11707ccacec620694e89c97 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_4xx=y
 CONFIG_TARGET_MIP405=y
 CONFIG_SYS_EXTRA_OPTIONS="MIP405T"
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index f25cf5146be3b896e60f4488a8e9ab20e81fd57f..3a012e72917860cc3e1d389fbaa6b5af2fcc4204 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_MIP405=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 32c65fba977861262ae58c19d405c2e36a086eec..f04d66498670447666f432fb141f2d001fb94e13 100644 (file)
@@ -9,4 +9,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index cb983243f2a8b2799027493e3a76018e4c06e801..2aec6d98779cae178ef95846c6164468ee70e88b 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_MPC8308RDB=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index ec8d7b6c1c3920ac458de11e415a39eed6e355cf..d7361914d82728a04dc90187f933122c2fba121b 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_MPC8313ERDB=y
 CONFIG_SYS_EXTRA_OPTIONS="SYS_33MHZ"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index ed498deeca983a13a5610cc5f2fed7ae360f923a..583fde8418a2afea81310f4fd5a7f14e01bdcc2e 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_MPC8313ERDB=y
 CONFIG_SYS_EXTRA_OPTIONS="SYS_66MHZ"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index ed0b105a89e855fbbbbc2a3bc8191908e9004f22..7faa2fe4cc0ac30add9588a4a811813f74ee8a84 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="SYS_33MHZ,NAND"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 4dfb71572c3b28daaff0fe3e3ec2d0c0878ba872..bb4a35d536b638984ef82d6da79556538410a3bf 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="SYS_66MHZ,NAND"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index e618381e714d86e9df3c5c2bf4e32d07e856246a..3d9dede7b45f7e4602ef3eec71212e6cee382d0b 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_MPC8315ERDB=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 762ad5b195f237befe8a7aeb75f2b1fb19562432..337a0dd079f6fb9a73e0e3336d6d83be3c130c5d 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_MPC8323ERDB=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index b4b3724733900a4e36f01b5849070fb9a2c2b555..cd29b89c5e1af18bfb149f4c702c2cfb083045f0 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC83xx=y
 CONFIG_TARGET_MPC832XEMDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PQ_MDS_PIB=1,PQ_MDS_PIB_ATM=1"
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 9a2f338d143ffed14a842603da9c6dea64e3b649..071fe086c2f15b41c9e94ac59236edbfc201b146 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC83xx=y
 CONFIG_TARGET_MPC832XEMDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PCI,PCI_33M,PQ_MDS_PIB=1"
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 66e42694af57fa033bd372b15e78ed810cc718c0..789c8b190b4e1fcc657d41c96c6e82c1cdc93902 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC83xx=y
 CONFIG_TARGET_MPC832XEMDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PCI,PCI_66M,PQ_MDS_PIB=1"
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 467f220a520054ac31e3de5a8d28455cb00979d2..7f5c55aba432bd9b825a2a47ebc79982fef5c195 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC83xx=y
 CONFIG_TARGET_MPC832XEMDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PCI,PCISLAVE"
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 3e24ab13636405fe9918bb74fe3d572556a9195e..2ea5868e93f5a3c0a4eadc2d5482a9227dab5c75 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_MPC832XEMDS=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 6a907cf6d2ab8dbd5bcd79f6822054d927516a34..f4d1d45cb899c60abed0a8c26e139576d26a1a2c 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_MPC8349EMDS=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 1a85eeae79780b0f466152c22046f3d23be7832f..445beb651414d41735eefd2cc8b0ae9d20cf4e9d 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_MPC8349ITX=y
 CONFIG_SYS_EXTRA_OPTIONS="MPC8349ITXGP,SYS_TEXT_BASE=0xFE000000"
 CONFIG_SYS_PROMPT="MPC8349E-mITX-GP> "
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 9aabd6a977988bf145e6c06f2f70953e6f6e71f7..c260a469819ac56e32c8d93bcafa74ef33565951 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_MPC8349ITX=y
 CONFIG_SYS_EXTRA_OPTIONS="MPC8349ITX,SYS_TEXT_BASE=0xFE000000"
 CONFIG_SYS_PROMPT="MPC8349E-mITX> "
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index a5309de49e5a933eed1dc520ffa5c5a2156cc884..5b234c6d6f284fc7f6c5ed9825512d1f74f7eea7 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_MPC8349ITX=y
 CONFIG_SYS_EXTRA_OPTIONS="MPC8349ITX"
 CONFIG_SYS_PROMPT="MPC8349E-mITX> "
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 2e472a7137fbdb15aea30fa60348d49e860f40b3..972e0f870314392759dd63ce744afe827e8e77d6 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC83xx=y
 CONFIG_TARGET_MPC837XEMDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PCI"
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 21b450682a373e120267ca833285ad8bba2ec54b..9ddddde3c38a577f5c0cdc139622be011ca277e3 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_MPC837XEMDS=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 126d0d2557adbd8ba5bf466d9429506b2db91743..c77fe0cecb4811d64aabebc10ba983373571664c 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_MPC837XERDB=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 66040046ffb911cbf611327a95008695aaedcb1e..fdea51de5d848b5029bfb325ff269032523cde99 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_MPC8536DS=y
 CONFIG_SYS_EXTRA_OPTIONS="36BIT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index d1bad84508b27edad0149bceb0ee85bfefd5f215..a7492fde40c5ece1ba1c05b493647a87ccbdafb1 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_MPC8536DS=y
 CONFIG_SYS_EXTRA_OPTIONS="SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index ad0ae6980698df0e9ac901c92c61d40f0301128f..e2a4226fae0d38a22f284269dd62e14b06654acf 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_MPC8536DS=y
 CONFIG_SYS_EXTRA_OPTIONS="SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 2e9ae63dffe294d96e0994c2f063f7fc169c574a..c07b84abbc2ffeb5ddf6ba1e45f8c83d18ed866c 100644 (file)
@@ -2,5 +2,8 @@ CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_MPC8536DS=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 41af34927de14b0ca75938b97fc43e4849e977fd..1239ba8d5c2a5768202496be583770e0f329ef93 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_MPC8540ADS=y
+CONFIG_SYS_NS16550=y
index bc9c24630a9c3be4d48d3e5fd1407513f2c7d5fd..0c5cc135c295a13b5daad1a38008baf0b6ea8e8a 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_MPC8541CDS=y
+CONFIG_SYS_NS16550=y
index 55478ab184d33fd1d7bd06f39ab206170124de36..3ae3a3de76fb909a64e592ec5519f65185330021 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_MPC8541CDS=y
 CONFIG_SYS_EXTRA_OPTIONS="LEGACY"
+CONFIG_SYS_NS16550=y
index ab0c79b158cc21f919d044940463990686c02855..e4e2f9cf1903c05b557f9aaffffb9805eb32b3ee 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_MPC8544DS=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index 11d67ef15a212f824829e899a80259417dfd8d11..5e635e0aa060b0394ba1adc853b0cea18a54e7d4 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_MPC8548CDS=y
 CONFIG_SYS_EXTRA_OPTIONS="36BIT"
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index 6a9ce6af17f09a1c155865dace4684c0f9928a15..ac2c090a337eb2f4450bd61a7dbc33b89aef0791 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_MPC8548CDS=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index 381947fcfd61e51f91394f13147959e527c9b191..3e1ecd7f249ea56bcbfe0bd0724db671811ef2af 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_MPC8548CDS=y
 CONFIG_SYS_EXTRA_OPTIONS="LEGACY"
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index 3bdbb0c2d1d76910a22c889c855e0157eba21816..9a7c16e3f7477cb40af7c6fe0b8e96978d2cbb79 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_MPC8555CDS=y
+CONFIG_SYS_NS16550=y
index 8e53ee0d2c4962c64b94b69ecc32255ceebec1c8..eb6382cf746705734f3420d77f8bd8732e780e39 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_MPC8555CDS=y
 CONFIG_SYS_EXTRA_OPTIONS="LEGACY"
+CONFIG_SYS_NS16550=y
index ac0ec8c501ddfeb65e7de8e3873b04f27ccc6ee5..36b5c3ba36456382596cb857c945108f22d70a54 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_MPC8568MDS=y
+CONFIG_SYS_NS16550=y
index 719ca84cc85bf44eae1f0dfe3dc2c302d70e14b3..186126bf5f968ca5f6558cd60cd24756ff6c0087 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_MPC8569MDS=y
 CONFIG_SYS_EXTRA_OPTIONS="ATM"
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index bb1a4fb9ea0934951b0de4c7b399a9eca771291a..bfb51d2900e901e1430ee005833c15afe4777259 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_MPC8569MDS=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index 5c765245d178a4ba7af8251dddbe7df7f806602e..878404b42994077987ef2740edc5addfd424719f 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_MPC8572DS=y
 CONFIG_SYS_EXTRA_OPTIONS="36BIT"
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index 2f69b14733207d24c3d3347c202b58e7aaaeef1c..8b42ea3e5d80253aa124644ee739421594440094 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_MPC8572DS=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index f0e1370f418ca20051f6de0f772e6f05b413a7c6..bdde5d06b06856e43e80681bac786bad944b3a1f 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC86xx=y
 CONFIG_TARGET_MPC8610HPCD=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 0aee7eaf0171c71b6c8425a8b6ccbc1903933def..46f8077f03f8a315f5a4436f67c3e4150ff76d29 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC86xx=y
 CONFIG_TARGET_MPC8641HPCN=y
 CONFIG_SYS_EXTRA_OPTIONS="PHYS_64BIT"
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 2bee038a63bccb37bfeeff248790c672006577c7..25056d6705ab9b915c423eae865f44b6f5a09f43 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC86xx=y
 CONFIG_TARGET_MPC8641HPCN=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 73fd4e8cb15ad3c5597f896f2c5bb4370b7cb8c0..a035159e7d7df69ac0eca328fa64620b6187629a 100644 (file)
@@ -14,3 +14,4 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
index 11f32037c4d02f181b5e72d822529a85a6efc93d..4ea1ff810dbb1c217488398a5b23d0314034e24c 100644 (file)
@@ -18,6 +18,7 @@ CONFIG_SPL=y
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_AXP_DLDO1_VOLT=3300
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
 CONFIG_VIDEO_LCD_SSD2828_TX_CLK=27
 CONFIG_VIDEO_LCD_SSD2828_RESET="PA26"
index 5689cff7a94a8f7ef4476cb239390e1bd9f66832..5c5fabbbd0c0a9e436b4c87ea07ab6eaacbbac51 100644 (file)
@@ -9,4 +9,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_EMAC,AHCI"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 61270987f2d6414ab0b2a58b77ec95449f8ace71..0de9871287458a0d4ff95a1e7228aa9ad85eca3f 100644 (file)
@@ -17,5 +17,6 @@ CONFIG_AXP_DCDC1_VOLT=3300
 CONFIG_AXP_ALDO1_VOLT=3300
 CONFIG_AXP_DLDO1_VOLT=3300
 CONFIG_AXP_DLDO4_VOLT=3300
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
 CONFIG_USB_MUSB_HOST=y
index 93707e441b75fc01d611fdb5300b4634cd6d0078..467a2673a1ec38d9ddd5466818c534b643b0c42f 100644 (file)
@@ -11,4 +11,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_EMAC,MACPWR=SUNXI_GPH(15),AHCI"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index bfe2a29feaa7eec879e7e175271560f54e0b91c2..bed8afb42b415e421d1d54f13a28f40e02e175ef 100644 (file)
@@ -17,4 +17,5 @@ CONFIG_AXP_DCDC1_VOLT=3300
 CONFIG_AXP_ALDO1_VOLT=3300
 CONFIG_AXP_DLDO1_VOLT=3300
 CONFIG_AXP_DLDO4_VOLT=3300
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 0ed5da1ba4e52175d9136191406872e4c1b7144e..77de837be700d10b33c8981001933e8ca43e9414 100644 (file)
@@ -15,4 +15,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index fdb5ba4e498d76111bf7b2a6122269ee359973d9..6396ef80a69516f4723a8c0426024e6f9b8faad4 100644 (file)
@@ -14,4 +14,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,AHCI,STATUSLED=234"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index da762266525ca47618d3a8bdf731996200476ce9..067d9bd90cb332943b9b493adfe704630fddfa94 100644 (file)
@@ -17,4 +17,5 @@ CONFIG_AXP_DCDC1_VOLT=3300
 CONFIG_AXP_ALDO1_VOLT=3300
 CONFIG_AXP_DLDO1_VOLT=3300
 CONFIG_AXP_DLDO4_VOLT=3300
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 1eb80940ec41811757ea68bccafc936e3a943260..acd613b502ed0d8b9f572cd18cd4d05cda47f612 100644 (file)
@@ -12,3 +12,4 @@ CONFIG_DEFAULT_DEVICE_TREE="sun9i-a80-optimus"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
index 8013359947023a8590e6628ce3f34e5bf7e2cea3..501e6c665e6c30e2c799da730471846a2932a5fa 100644 (file)
@@ -10,5 +10,6 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
 CONFIG_USB_MUSB_HOST=y
index 330ee47db20adb57e4377e5c7857251933404ad3..761bfab6b65e9142f1e8ee76dc313ca1fa8c0d29 100644 (file)
@@ -16,4 +16,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,RGMII,MACPWR=SUNXI_GPH(23),AHCI"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 4156c35bc5cb7326989471c1ea4fe9a9fcf9b7f1..a52a483fcfb6f1031fe958f5fef7f67e26308207 100644 (file)
@@ -18,4 +18,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,RGMII,MACPWR=SUNXI_GPH(23),AHCI"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 407f0fb3eee766e20ce76d2cb1e63262d4751464..c533f9786240ba233dd838d34794d8330d39a51c 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1010RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PA,36BIT,NAND_SECBOOT,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 737921ce8798442e81bccfb6f4853d705d7bd5be..8c0f763bb5080ac30014aa48e08802f718d19c46 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SPL=y
 CONFIG_TPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PA,36BIT,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index a34436efa0f17d060afc2eaf9f2da4de86ef7b3f..c09e73e6c1092f173c2c282841cf7c25a6df9de2 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1010RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PA,36BIT,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index c6cc9b522978db67bb0fa5b826cbd30142cd63eb..32580d829d7b9f45664d5f3b66e0c6bd00c1f5f4 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1010RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PA,36BIT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index d32ca80e841e1e829cf94de4961fae574fa31a8a..d656b218c594406ea1f2f7f6d5316eabd2fd1c26 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1010RDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PA,36BIT,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 56cc3c6e01e7b8b5f0cbbdbcf96bc33beae07af0..7d31491ad8203957daa1f499e9e5a8ee22292619 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1010RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PA,36BIT,SPIFLASH,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index da56dfbfe20aae56ccd4f877602ae9d7e24d2b6a..981d4db0c66276efe16dbdfbeffb9555330524de 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1010RDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PA,36BIT,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index fec2697b937a578debbea75af166e743c2972289..d49b5a77de607652b920aae110adbd4163f4d51e 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1010RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PA,NAND_SECBOOT,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index e45a5411065ea4ee702cd2944ed950cec1d45036..f638ff2dd52a20ab588f1b806d28ec66fdebbbce 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SPL=y
 CONFIG_TPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PA,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 64ed63a34e1cff455fc748238b82d575eeac8adc..8a4793d0635f9e54a5439c6e3d366eeef9a12d1f 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1010RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PA,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index fe4c8b5f5e0617b8246c8263511aa5dbf6f173de..f00c54f02c0fe2bb87100ba86c4bb4ea871187a5 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1010RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PA"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 3d41cb0fe646d134b9713075727730399440eef2..384d0e770135b91d3a8ddc5c458cec2d3dde464c 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1010RDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PA,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 6afce00a1d53953197deba2747a475f8c66d5ff1..751cc549b08e9bea0b84abf82659650560a7a9fa 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1010RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PA,SPIFLASH,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index faab1ac9e023d2076eecd9452c6cb91e1375bc1a..fc4afce9c2b3d6c5cd6b16031fbdeba14389d269 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1010RDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PA,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index a76affde567f10d1f5d6c6da14eb2c27fdb80e66..40e2f265dea0c495a16e544ac30b20678451e422 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1010RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PB,36BIT,NAND_SECBOOT,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 64963b6f6e4112d8c41b2c16498cc7f7f4491599..632112318e984058f9645f7fc084aac42d8fa9ac 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SPL=y
 CONFIG_TPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PB,36BIT,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index ea68ffb3ccd4255a7454052e274f59b31e132080..982f8291b4e0c915909fc3250c61e37c64e4a25c 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1010RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PB,36BIT,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 0a5403dcb9534b81a97fbae96dfe4c58b304a84c..521a3d4ea5ed5e55ca852153f9246439ee649f51 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1010RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PB,36BIT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index f7e9050fbe1e0bb330763db6b970406249a5033d..ac6ee07aa2897b7df2d6b64e17bf95d56509a4f7 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1010RDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PB,36BIT,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index b92c3f39fe5fd50ace7cc49e48abcb93098d1a76..2363aece33b15a4f511c59e586e1e14c0b9ffcfc 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1010RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PB,36BIT,SPIFLASH,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index ae9309a7d2f80d46d692d62ad02d33b863d20c9c..875e4d53eea60e1619db9f252179eedff40f2bef 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1010RDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PB,36BIT,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 9b9a8a2f4b982514d7ce53a6d3fe5e0c15b72e4e..51286afe9d5e5e5406287a4ea714653c8dbbef6d 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1010RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PB,NAND_SECBOOT,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 60b3417298c1f914a068fe422a37f23668293e9f..47e249c2075e2130aaef5c5dd0caa2cca89f7cbf 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SPL=y
 CONFIG_TPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PB,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 17b9941359c7b57498dd967b2f7ed0130dc3afa4..aa68725456957b69c0fcebf6248c5f85caa5a723 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1010RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PB,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index f3b3b22f7b12cd32c5fd1298451312c97785024c..c07fa85e8f1571a5e2af4fa65548ee235edb7dad 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1010RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PB"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 5d9607cd5c39b769b1784ec89ddd9329d850c135..7a431b5850b002587aec2d10ba0eec4715356a92 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1010RDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PB,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index c88b4333fcd5c90217c70e9de607d585b69683d2..d3d9e493ec274e54cc31a6da92becda5715f4217 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1010RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PB,SPIFLASH,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index b3904061a98e2b44b510074b9bfcb8a443943980..256bdf49f75a32cc111e5dd64c3d90ddd5ea356a 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1010RDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1010RDB_PB,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 7714ac6baadfdbd9d50b8abe8621667d383a79df..ef36139b06cd2e3ab460ab5fe0b90dff940bb488 100644 (file)
@@ -5,3 +5,5 @@ CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020MBG,SDCARD,36BIT"
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 29a520bc9b2278c0d7d2e9714b70903859c70397..0cbe4774853d24ef42e7d37a9f0fd4521ae804fb 100644 (file)
@@ -4,3 +4,5 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020MBG,36BIT"
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index c0a07450b8dc2f1e7f417c11b0bc73e66806d2c6..4771767e02f8bc9c8abfd86d908c627cf35eef6f 100644 (file)
@@ -5,3 +5,5 @@ CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020MBG,SDCARD"
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index bc408b75e3802b89b982eb9b1bab8a73a6b52c64..9c25cfcf705d977719c0630078143cd9a9477ed1 100644 (file)
@@ -4,3 +4,5 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020MBG"
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index b7d1d2e5692d222290444de3d39027b9e24db4ac..0ecaaff24aa64685380e8e0db0125615b20caa02 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SPL=y
 CONFIG_TPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020RDB_PC,36BIT,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 6b55479413dbcafdb501502abf31c77e534111db..32d3606a1d255ff9177f11aa17c37502c1e0c53d 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020RDB_PC,36BIT,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 78db491a6dc03466634d589da1c885980c214b2f..da260a746bf1582016fab50e3581cac60e5b3f5c 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020RDB_PC,36BIT,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index df9dbc91580e0a364c73b9081d974c8954f58650..3fad0b95b470bf8a4f151e88538f0a729f97d048 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020RDB_PC,36BIT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index c54b31a9bc456c0131ff10cecab35c84dc44e8f5..09616dac150f9a893cde63f23e7a5c2eea16de46 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SPL=y
 CONFIG_TPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020RDB_PC,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 3c08d4ffaea71fd1b74fa8759d2b2c3ebf80772c..4ed2f7cbf1cb02bc8bdfcb1467e7ff226b1da892 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020RDB_PC,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 708d5c43578a32a5c59752b8c8362b722ee5ccf5..3a2680042f4c855e6744ef620696f8fea4d4d16f 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020RDB_PC,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index b7c624f6f7444559679bb2c254bdf72f0e967760..734824e1c0ee03806b9b9891f0dc2a44795f998b 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020RDB_PC"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 82492c030fc93f55e63716ba070281eecfd35d57..c45b2a8c5ffe85e757ae0a642d8473cf5927c5b8 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SPL=y
 CONFIG_TPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020RDB_PD,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index e694d5b5a8eeca4cdb497958d8c72fc877876020..bdfc29ed1a0279b0eecf31febd839e13282e6075 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020RDB_PD,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 3cf0b8a1d24f0d8fc811e4b455d49cc13bef6bd0..4ebcfea8fba7c43208a7dfcc4714def46b59bc52 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020RDB_PD,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 6ddf70c217d2a5f1113a0b613ae21136fa1d9fc2..3c9742397800c32ec600b4da92bb509348903a12 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020RDB_PD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index b29fcc47155b3f2e77b0200dba05571c5126a65c..27259c352a91340f2aed79aa08468bef953f76d8 100644 (file)
@@ -5,3 +5,5 @@ CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020UTM,36BIT,SDCARD"
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 63b647150edd99c392d352252f9a42143ae82be1..ace09b00b27d8e7fd5de47f5c403f6224d80a6ed 100644 (file)
@@ -4,3 +4,5 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020UTM,36BIT"
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 650ffe59b0dc459934a5a591dca0ade10a89bb38..42e6d1c207dfe1a3b8cb701f8025b68f0751177f 100644 (file)
@@ -5,3 +5,5 @@ CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020UTM,SDCARD"
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 56ca99c0321d91766933c05fc04b051361170a73..9a5a04f970fa00632dd2831cea08cd55a6a276a5 100644 (file)
@@ -4,3 +4,5 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SYS_EXTRA_OPTIONS="P1020UTM"
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index d246c7b4bec3e0abc6e68ffdae2f34fd3a388a15..d6ec2ad1841c7937bb7c2b3fb3eafe3c282ca1a1 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SPL=y
 CONFIG_TPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1021RDB,36BIT,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 1bf813f22db631cb285f8ce959a6e4edf48e1788..9414eabeb66e864162add9d6bd2b843cc1475aae 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1021RDB,36BIT,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index d5c8bd2f2d3ca284b2d2f3a4b939bbf11b6fee21..429d24944a874ae373b10518c42f69a7a5510e89 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1021RDB,36BIT,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index a9510dc0d7a48b6efc3d698c660349fc438c760c..236d67a6b776e9038ade9225c7795e275cd10814 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SYS_EXTRA_OPTIONS="P1021RDB,36BIT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index a7de239c51d71ca61b1d893ad1a0335eb12b317a..e1410b6b62ce5681f0bbcc43c16bdd94155b01a2 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SPL=y
 CONFIG_TPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1021RDB,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index faf36fe9072f601677d3cb55c366bccc66451e65..8950dcd789cf8a68ac0fa1771f07dc0b43ded47c 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1021RDB,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index cc9320f08dd0b1a88deac81342da02bab6b5fd81..8760a607c93efe98733cfe9c3933348cab719a6a 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1021RDB,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 9ac36f71f0348809ffc3c48d4257bc510a607358..fd52fcfed223ec36deeaa5ab46268133dbb90a9c 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SYS_EXTRA_OPTIONS="P1021RDB"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index c093ccfd7f70b195ba6af86382f89b9dc9fbeac1..a57ab4a8cfae049b5a8f4bf924d7e6e411e8e6d8 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SPL=y
 CONFIG_TPL=y
 CONFIG_SYS_EXTRA_OPTIONS="36BIT,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 342870d520e2dbfc73f5ea188a50ec28124ecff9..0e68138031fdbbbf270f53b8d12f976f75d4cbcf 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1022DS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="36BIT,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 40db7b5a9eef78ad489209d332641763cb1157e1..130b7cf8ca6ba5226fafd597160bfcda542d3c04 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1022DS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="36BIT,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index d6f2ee4918faadbed61257a16740d686a5e91cbf..5646062d0077457fa5ed879c7efab7a05140eea0 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1022DS=y
 CONFIG_SYS_EXTRA_OPTIONS="36BIT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 22e354c9967a27fc4af2eebd0bcfd0e8864dea9e..ef08a9edd9049b533e4195ae5b747cee671e65df 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SPL=y
 CONFIG_TPL=y
 CONFIG_SYS_EXTRA_OPTIONS="NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 25ec334751be5f41f888f4e3ef4516f959bbb66a..8ff94af8f6c903897405a8d0ebe59c3e7ee508b8 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1022DS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 0227f35e9c5057e52459291467113323e20eaf43..ecc48cc445ab459c2d396d54292ec2561a66e467 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1022DS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 427c64b1a300e31780dcee29baed91998043faa9..40c6621ae1ce76f311dbfd3572dce3f0d68475f2 100644 (file)
@@ -2,5 +2,8 @@ CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_P1022DS=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index ab8f6bbcd4619393d0d841e51ac6f81a9016b2d9..2478f308296daed385777ddeb0111bdf5fd0669b 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1023RDB=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index ab32765f7dad25401776253228c54bd7a65733af..3f96330346712dc70f092eec02443beae2b2a25c 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SYS_EXTRA_OPTIONS="P1024RDB,36BIT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 1b4f31c644bbf13f08e6e79dbe25ddebd658fae2..05c06b6dec9c9ad9d0a6c0e111c3c047433cef22 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SPL=y
 CONFIG_TPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1024RDB,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index d647f8a5016230385b64243a7450c6b2ae2ac5a1..ad081e5369766ea7e5db6a429e3fa1f33aaaa862 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1024RDB,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index b5790e1cbb79617425656afe22b7e1fc1e2eaf64..12158de42cdee634c531bb4a7787a807cf1de99f 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1024RDB,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 5dd1cbc040b0fc55de7f6e57cd969762eca3f053..3d13da987ab9c85566aa26bae375cebfe1cc8090 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SYS_EXTRA_OPTIONS="P1024RDB"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 509f116d9ca891ec611a82377d3ea77a240260a1..453c057915e73e83ef2977537aa7d5aa709a949b 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SYS_EXTRA_OPTIONS="P1025RDB,36BIT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 6369e39d34fee457d273480d31d20a0acfbdfe01..1c5e06a8065d014ddcffc5c075a1ad01b53b72ed 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SPL=y
 CONFIG_TPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1025RDB,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index a3cc80ae3fdfab3d30e091f355777a05ea999414..fc01fe642fb50279da01cb7b568eb886c74cdb88 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1025RDB,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index b5d56f7874fdd91b2d295037da43622f0d3e0852..0a372980b1e83785db11ce0d62099fa62852b7f2 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P1025RDB,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 05c347eaba34c706e1424eade2905ad490ea27bb..fe2154425e6da317e949a2df2966470244bac3c8 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SYS_EXTRA_OPTIONS="P1025RDB"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index e86ff8c29639f8d47b527e605cded06d694b5ca0..578bfc5f26a3f6006816fc347f968f92881fd177 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SPL=y
 CONFIG_TPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P2020RDB,36BIT,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index d97c6bcb8c45c31600c281bfd3ba70f0dd6ed86f..19c795abbdcf0ab7deb9c746a566d9997e85e50a 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P2020RDB,36BIT,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 8c1572686b8ed160a35892c3af36e9bdd016eb68..bdc5e4377a7d8a87d87db04e14d9229320f917e3 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P2020RDB,36BIT,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index b93ce0826482143f8c3359037ac6b3303e4e8450..b9d4a472b016c9b78680f8660915455481a2616e 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SYS_EXTRA_OPTIONS="P2020RDB,36BIT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 3184f5e394c45ed7d62582f1f45a01fbfec72322..ea9f830aabb9272c13340a9bf6ee3e49913bc417 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SPL=y
 CONFIG_TPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P2020RDB,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 43289674421452839ac8e1fc947f132836addda9..997887dccf8fbb6924704fd3a104f7c83730e31a 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P2020RDB,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 55cb86c4507b6df381cddadb962bd03db22a0660..e547ea41629175adca9077e9ba7d5c4d17c67082 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="P2020RDB,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index b5496fc55d0d2f044cb8ee014f3d315fd2bc03c7..fdad880edca30ee2d629f77673beeea97205dfa1 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P1_P2_RDB_PC=y
 CONFIG_SYS_EXTRA_OPTIONS="P2020RDB"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index a3e8f9c92e176e32a80f947bd2b4859c54aa3495..540b79d0f32de7af128f053a87bed1c63bdc9e56 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P2041RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,NAND,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index dc022a1a8849d00466acc910e19ee81e590d6aa5..e879d9416948e2d96aa0a106b4e44b74475e7168 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P2041RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SDCARD,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 3fccb2b8eba6b6d753df81750ee47421dfaa3e9d..114fc3207dc6232e7224e4aa2619f982b65e2038 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P2041RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index f748ba361cb6416aa6a7c2c48a84ea342186db49..6feec40a6b0c3a27b9c1f3ff26e81c7c94daff62 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P2041RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SPIFLASH,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 4d62252033f631860dc8d0f53f0fc9ca1ab32d20..45ba154719bb3b8905d94dfa5f0efe31f61109b9 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SYS_EXTRA_OPTIONS="SRIO_PCIE_BOOT_SLAVE,SYS_TEXT_BASE=0xFFF40000"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 9dba9a6e436ed275f4053db4cd87d0f6d449c779..9a455ef78d6983f7830a1153ae6ca37b2b520052 100644 (file)
@@ -2,5 +2,8 @@ CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_P2041RDB=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 6e4b88e4d864782e8b783d48f968e8cfa2067b99..783089b081feff3ef66895572e5e66f615acf87d 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P3041DS=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,NAND,SECURE_BOOT,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index d075e8fc593ffe8e40442f37155803f49f605bed..d8fa40751d45f9d5cd9ebe4f6326ec5bd1597c81 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P3041DS=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,NAND,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 5f1c198b60098d26fa5b8c2774b1490aad0b175b..385bcc8c8e15a17960bed1ade34c286242a20bfc 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P3041DS=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SDCARD,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 307f6d74ce2f6fc9896f10dcbf1158beed7d455c..5d463a2aeef69804397a37013f89ff270c249ba8 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P3041DS=y
 CONFIG_SYS_EXTRA_OPTIONS="SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 6112639c48e7eb1fd1b24677311a302c4545013e..2c528610c6ebc4cab550290ca0e484954f0c593e 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P3041DS=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SPIFLASH,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 24a79ce269343be90cce1377c8ebc3e8b3b10bae..6925f99f73be2ed2bd44011d6a8eda01792b6983 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SYS_EXTRA_OPTIONS="SRIO_PCIE_BOOT_SLAVE,SYS_TEXT_BASE=0xFFF40000"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 0fed50ffc34976c2fd8654e7fdab641e4656742d..b41254dd50761bc39b3ecfb32c8755ec5f3b9a59 100644 (file)
@@ -2,5 +2,8 @@ CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_P3041DS=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 74a1452ecbc30f8e91ec710122ae0c44a193f553..4a0a65d58d086c7d9d027ab252d78f3e06a46f04 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P4080DS=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SDCARD,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 0dd1f324d6965de33f71b804d7f99af703865996..92e1c1ddaaa339b05e739e0877c9d0a717b00059 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P4080DS=y
 CONFIG_SYS_EXTRA_OPTIONS="SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index b7bfc38b1f684d0bd1b608772227fc6c028dd108..c503a70bab5699267641b0515e44028788c9ae7b 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P4080DS=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SPIFLASH,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 766db5e317730cf5717a3ec48e088e5bb9c0a0d2..f9b877b39eb3c9a00f47aa6d71b74ff5951f5a67 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SYS_EXTRA_OPTIONS="SRIO_PCIE_BOOT_SLAVE,SYS_TEXT_BASE=0xFFF40000"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index b0a6bdffc8c86bb29dce7e0ee3369699726d2cca..319f1c7f1245cf71eb367c2173b88adb7097e541 100644 (file)
@@ -2,5 +2,8 @@ CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_P4080DS=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index c89ec85dcc2c82da6a96360ed4f6fb0a1f8b5560..46b78bcbfce7871412b4b57aaf1c7cfe56b63662 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P5020DS=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,NAND,SECURE_BOOT,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 64ba6e94ed56c1eaa8b4f452c6b056f48fffd547..259371cef3ef4828866798999af7ab5443c539c7 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P5020DS=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,NAND,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 796e9a16a3a442e188f909b2c4dbf8ae97df52fb..2da6f487c4aa961fce0a19590d44325b588b3cfd 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P5020DS=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SDCARD,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 27ae488b477cf8d49b3ac8401fc64d6441a03cb0..aeb7949751176f259fe90a28fa7f47487a8b17e4 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P5020DS=y
 CONFIG_SYS_EXTRA_OPTIONS="SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 65cc60095872d7c3fb56536179aa9a98876d9f24..ae06e9c4a3ce660c31a146e4b9c82221e4ab6b8a 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P5020DS=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SPIFLASH,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 65e9d2f87d246c6fe637d5080a26e9d94c9c1ba1..53a279e81a7c9a353077372cc5800c92d64c32c4 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SYS_EXTRA_OPTIONS="SRIO_PCIE_BOOT_SLAVE,SYS_TEXT_BASE=0xFFF40000"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index bd06987a11328db2ffb48d9cc96a341a308c133e..e13357011de63bd61fbaa629dbea29901496e667 100644 (file)
@@ -2,5 +2,8 @@ CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_P5020DS=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 3027031a371104def819d4952362df9f54a49217..063e0f73c668a351c103df5d2e9a50d0c2c0e0f7 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P5040DS=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,NAND,SECURE_BOOT,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index b2f5cdadedeee717e34061dfdf52b05da7a9c026..2c5f0583d9891fe646e263f4e68a2433959452cf 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P5040DS=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,NAND,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 950df55dd6d1e70e1b9ed4e894b6f5d0004ece65..d78bea052f567bae82ca921bcb50f999fec0b328 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P5040DS=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SDCARD,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index d0bc383a685bf7b0d3482fb13d2d94a15fa37968..ca1c87ce189dec3fcc9154bf2d4a5b8bcd940946 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P5040DS=y
 CONFIG_SYS_EXTRA_OPTIONS="SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 0dbc3ebf24383197aabaff625a24f2a5f271f8fc..4c403b6ecbfa88cc2bd98c604ab2a8ef271d5968 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_P5040DS=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SPIFLASH,SYS_TEXT_BASE=0xFFF40000"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 5da5a3c35e8de6180b9052b998c0f75e2d6753ad..4c01789e46a0f771b42b5afecc781efbd5a07588 100644 (file)
@@ -2,5 +2,8 @@ CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_P5040DS=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index ced7d1a257aa30ae2d79e0a6398822e074519c04..046a5d80060dea07abc6ae22cfed1ad6a3fc6b91 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_PIP405=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index a691e24efd366b8f4deff6d38fc0507cf00c4750..546376fbf25c13a578a59c09fb4fb7626604add7 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_AUTOBOOT_KEYED=y
 CONFIG_AUTOBOOT_PROMPT="Press SPACE to abort autoboot in %d seconds\n"
 CONFIG_AUTOBOOT_STOP_STR=" "
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 37b2d23819fd97708d6945f15eabb7dd8f27da71..53fccf58bb9c7f833861dec5a1f1e018a5b641f8 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_AUTOBOOT_KEYED=y
 CONFIG_AUTOBOOT_PROMPT="Press SPACE to abort autoboot in %d seconds\n"
 CONFIG_AUTOBOOT_STOP_STR=" "
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 96ff54c61a5609a1d5ffce73d029227a7c7611bd..984497a53663e096f94ecd0090627f219881b9ea 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_AUTOBOOT_KEYED=y
 CONFIG_AUTOBOOT_PROMPT="Press SPACE to abort autoboot in %d seconds\n"
 CONFIG_AUTOBOOT_STOP_STR=" "
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 20d3f93e85d259c08df257aaa22b466650e53de4..d535dcc7ebcf0a92c5433724c98c9480980ea96d 100644 (file)
@@ -10,3 +10,4 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
index a5f8e6aec8705a61018886399ff67e85018d2eca..c83def8d46baa2d7eb1f0c2c699de0deea105ba2 100644 (file)
@@ -16,4 +16,5 @@ CONFIG_ETH_DESIGNWARE=y
 CONFIG_AXP_ALDO1_VOLT=3300
 CONFIG_AXP_ALDO2_VOLT=1800
 CONFIG_AXP_DLDO1_VOLT=3000
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 836bde495f3fc307435c52f2fb6597e87833a175..4fe6af89a71172fb04e800944c70f4cf334f0ee0 100644 (file)
@@ -6,5 +6,8 @@ CONFIG_SYS_EXTRA_OPTIONS="PPC_T1023,T1023RDB,RAMBOOT_PBL,SPL_FSL_PBL,NAND"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 7bc7f54e71e6934c5eb04be424c8a534e82fc633..84febc50af0ed65e87b4f274a3bced5a4019a054 100644 (file)
@@ -6,5 +6,8 @@ CONFIG_SYS_EXTRA_OPTIONS="PPC_T1023,T1023RDB,RAMBOOT_PBL,SPL_FSL_PBL,SDCARD"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 6a3ccca3c06a5bbabbcbb4bcba519049fa261e6e..8e355be1c0e2ad32d259ca1fbafd6515b3196f06 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T102XRDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1023,T1023RDB,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 83b14a8401d3474faf98c2753d4ccd07209b826c..b72fc860121a6de4ce8db6ffb6956709aa6b3828 100644 (file)
@@ -6,5 +6,8 @@ CONFIG_SYS_EXTRA_OPTIONS="PPC_T1023,T1023RDB,RAMBOOT_PBL,SPL_FSL_PBL,SPIFLASH"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index c4b72d49cc6625dc5ee37ce90b413814485a32d0..eb5d4efb8a7cdda49debd33b250f508d0b86acc0 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T102XRDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1023,T1023RDB"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index f69c49d241bcdb1c5be84fc3da64b132fd1f9933..5a30ab4f4b950ef10798df2ab0ff16acc139fe88 100644 (file)
@@ -3,5 +3,10 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T102XQDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1024,SYS_FSL_DDR4,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 174fbcab974d1f11fa21b6989fcad2668623c026..39dc0a65932c3e64d42cfb4c9ba7b77baaceba75 100644 (file)
@@ -3,3 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T102XQDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1024,SYS_FSL_DDR4"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index caedd393371f3e8311ab4ad96bc33496c74303ea..4425bb29bafbfa06f954d2c85d8d064f446c3f87 100644 (file)
@@ -4,5 +4,10 @@ CONFIG_TARGET_T102XQDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1024,RAMBOOT_PBL,SPL_FSL_PBL,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 844d9a5c5e36e5c7d5b665269015ac1f2c650703..97e32ca3ff5077210c230ed06aa4b55a0576d2ad 100644 (file)
@@ -4,5 +4,10 @@ CONFIG_TARGET_T102XQDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1024,RAMBOOT_PBL,SPL_FSL_PBL,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 9f80de05d7e7357f51a746dcec576ae8507f9dc5..aa5dcde8e5e39875035b0f568040f3d865e9f30f 100644 (file)
@@ -3,5 +3,10 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T102XQDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1024,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index dc5a4604a52b44c926f32abc5de4a9c778b6e243..beaac8b37dff60756b0550cd480ac658dc906d2c 100644 (file)
@@ -4,5 +4,10 @@ CONFIG_TARGET_T102XQDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1024,RAMBOOT_PBL,SPL_FSL_PBL,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 74138c731d6f1c89aa7273e033a6ba6e79d363af..7bb2ea66675b6f16b8d6b89ac4f8a92460d4ba5e 100644 (file)
@@ -3,5 +3,10 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T102XQDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1024"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 7ae0433bd74b1aa14b9d94a439da62fc0d20307e..065e1684e090fd5a53e85bc6987e28e61f765dbb 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T102XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1024,T1024RDB,RAMBOOT_PBL,SPL_FSL_PBL,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 3a664f8fdb3f6173c61af77cc2eea097d1387bba..ae4f8cfbf724c23a7467fe70740fc990d3a31e0e 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T102XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1024,T1024RDB,RAMBOOT_PBL,SPL_FSL_PBL,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 8f4378bf7b1a7b791ab9490f26f82acd56645878..e24c91b9998b095c4867ffc01c8fa18c692576bc 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T102XRDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1024,T1024RDB,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 36f2208f01567d7bc0e8d9ccd6611abae357cf94..91aad6168a0cf83a9513d2b56094b6826e1dafbf 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T102XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1024,T1024RDB,RAMBOOT_PBL,SPL_FSL_PBL,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index fba47c2595168c0d46d79349dbff5c20ca35bf9e..d43ec06c1ceaac70e86cf522f721beef65d9cba3 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T102XRDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1024,T1024RDB"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 0af8c8d9fb70f9b6e2ba78f8278fb920057bc167..e96c9847ea5cf558cd7f86f591dabc0621a8f4fb 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T104XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1040,T1040D4RDB,RAMBOOT_PBL,SPL_FSL_PBL,NAND,T104XD4RDB,SYS_FSL_DDR4"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index dc9ee347c5ef81e6f85721d01e260fe9897207bb..e90d39da0b3eff99f18149ff64c6e3ae857a9401 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T104XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1040,T1040D4RDB,RAMBOOT_PBL,SPL_FSL_PBL,SDCARD,T104XD4RDB,SYS_FSL_DDR4"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 6a891a63e1f8270dd9ffb7d66b791c738082c576..17f75850e4cb41bbcb03d80056c971d44673fb1d 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T104XRDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1040,T1040D4RDB,T104XD4RDB,SYS_FSL_DDR4,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 46285763b358f8657563cd1567e5405c6b14186b..0191a6375d0aedf7a0e2739d6ecf39c1476a03cd 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T104XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1040,T1040D4RDB,RAMBOOT_PBL,SPL_FSL_PBL,SPIFLASH,T104XD4RDB,SYS_FSL_DDR4"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 6adc196b66371a1023ea1848f35845171b6da467..6feecb36653ec5600ae4ffdedc83f0cf3b19e510 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T104XRDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1040,T1040D4RDB,T104XD4RDB,SYS_FSL_DDR4"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 8c4320bf58520d983d4cb58745a39ad5c5bbd1f7..52b67c8317bfffa8b2aaafb24882584a6bc9cbd8 100644 (file)
@@ -3,5 +3,10 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T1040QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1040,SYS_FSL_DDR4"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 607c280312a18b3c508f2f6c99bb5dd788d100c4..d98b87aca2082f0c8e9411504884a038fc1fd083 100644 (file)
@@ -3,5 +3,10 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T1040QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1040,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 1f200f90f8a9fa82eac1ff9d94a2d0d4e7fd0afa..56eb500b842b3ffbdb26b64792241c39f11f420e 100644 (file)
@@ -3,5 +3,10 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T1040QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1040"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 146448d4efe536b99c7b682c958ae3f63d7b593e..74f22d2a8dcb29b880e9bdfe8b36dfe7348cb49e 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T104XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1040,T1040RDB,RAMBOOT_PBL,SPL_FSL_PBL,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index f363067c96520eb4a51af15f12828a9bf7b7b89d..a0f9f2dde586e2ee8aae1e3e80a155984799a27b 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T104XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1040,T1040RDB,RAMBOOT_PBL,SPL_FSL_PBL,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 55d2a5ea281576dca5e1b6160025e3ee6d83f4c0..7b190695793683530e1b163f5c604e1f2ebe240a 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T104XRDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1040,SECURE_BOOT,T1040RDB"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index c6fd93a5ee7653660772955906b4c6b770334933..3305e4950a698bb25d677882fe07bf009a49c780 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T104XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1040,T1040RDB,RAMBOOT_PBL,SPL_FSL_PBL,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 810d1d8bc99cbff6e1042ef12ad93da953674c76..8b7816d514bee6ed151cb8ec2904e8e8b1c5510f 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T104XRDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1040,T1040RDB"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index c06e33574cbd988373ba99f51b2f1ae35f344090..8c77cda98674a2c66b5c866762b7b6abfda6c562 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T104XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1042,T1042D4RDB,RAMBOOT_PBL,SPL_FSL_PBL,NAND,T104XD4RDB,SYS_FSL_DDR4"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index b86554af7c579ca7abe5f1c4c0bb606e92177817..0a3b0f8c198773c6a0ab87f46d5a8a290023f46a 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T104XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1042,T1042D4RDB,RAMBOOT_PBL,SPL_FSL_PBL,SDCARD,T104XD4RDB,SYS_FSL_DDR4"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 8130f7c33c400bdab68899dfc8b675a54e6b633c..f856f7dfc9ed5834ace92eaa57df3f5c399472c0 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T104XRDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1042,T1042D4RDB,T104XD4RDB,SYS_FSL_DDR4,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index ca7243a1435a88fc38567e4568b918968380110b..307de2982071fc9f6c54112779fcc01ce79777f1 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T104XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1042,T1042D4RDB,RAMBOOT_PBL,SPL_FSL_PBL,SPIFLASH,T104XD4RDB,SYS_FSL_DDR4"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 4e44c89bec72455ecbb7389c5204ab3b6ca7c420..15492006f860070a3ece7295062bd35e9d375dbc 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T104XRDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1042,T1042D4RDB,T104XD4RDB,SYS_FSL_DDR4"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index ff0052a51ee335f06d82cc3ff9b796cbbc05e706..fb229df496bc920aca2c095c4e7062446251c6d8 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T104XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1042,T1042RDB_PI,RAMBOOT_PBL,SPL_FSL_PBL,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index c19bd8b8bd3b378f937bf37d3d4addd71bf063a0..3743a1fe832a93e2f2feec8471f642b76970d449 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T104XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1042,T1042RDB_PI,RAMBOOT_PBL,SPL_FSL_PBL,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index fbce98489e5bcd0cec1c3ccd58125f95f4834e72..ce3e54e8e87f3e063c9409621eb2fc6c47895707 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T104XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1042,T1042RDB_PI,RAMBOOT_PBL,SPL_FSL_PBL,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 63535432b2775b3b52e205799af8245c8bf9d8cf..18d39677810e5159ffce76933b96d2ed6f77dbee 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T104XRDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1042,T1042RDB_PI"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 39121cae6862762b7f80b495d880096fd5bbdfa3..cdcfccf0b11c4deba608ba25899619fd3b2cc83e 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T104XRDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1042,SECURE_BOOT,T1042RDB"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index e5888e3ddb6be70a3c9fe11fb695f42045a4aed6..2a11421cb4db217d8d6e81d3b2c0c96e9ecf3ac6 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T104XRDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T1042,T1042RDB"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 361037013a865f6589f308d9df3d1b6944535cca..13f40b428fe0f3888bab74891447d1ef99135708 100644 (file)
@@ -4,5 +4,10 @@ CONFIG_TARGET_T208XQDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T2080,RAMBOOT_PBL,SPL_FSL_PBL,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 37cb6b7f80dbe702014b42f257afc05ee1154230..a72830ef64cb9266fc3c23f6de74c0a32f27f2f9 100644 (file)
@@ -4,5 +4,10 @@ CONFIG_TARGET_T208XQDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T2080,RAMBOOT_PBL,SPL_FSL_PBL,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 0f27b0784d21ece314def0576129f894edcb8e49..6a5014770bd94a50e99a8e2a7c6418cbc4540fa4 100644 (file)
@@ -3,5 +3,10 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T208XQDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T2080,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 85a3689a02b518f8d6151aa86b9152395ec0050c..dc66fd304d198a62c0db3e4aa9c6511bf7a73883 100644 (file)
@@ -4,5 +4,10 @@ CONFIG_TARGET_T208XQDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T2080,RAMBOOT_PBL,SPL_FSL_PBL,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 8f276fa1651b13c3110c626ebcd28adab8a85320..ca842a4f1bfad3ccda658bf890ba98ce25501254 100644 (file)
@@ -5,5 +5,10 @@ CONFIG_SYS_EXTRA_OPTIONS="PPC_T2080,SRIO_PCIE_BOOT_SLAVE,SYS_TEXT_BASE=0xFFF4000
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index c681528acb608c87625f26723d1c00f759fe70fc..3d2be66f9ec007e51b18f4f32abf3a93f5440304 100644 (file)
@@ -3,5 +3,10 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T208XQDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T2080"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index ab4a7be47c6ce8fa1c088e1b06145779359afa16..e2eead487d19edec369d4191af2a1e78d225d0fe 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T208XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T2080,RAMBOOT_PBL,SPL_FSL_PBL,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 5d0f43a26f9f035a0b599b69b547d7a778c75897..fc61d1a6761975b8af173dc979c08976c30830ca 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T208XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T2080,RAMBOOT_PBL,SPL_FSL_PBL,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 43a76bc4bd81ee23f198ccde5fd006517bd7410e..fe4a4392218f3a86d1715cd39c01765426e737aa 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T208XRDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T2080,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 1b230b1fb884598ee9d2d48ef8ace52d413753fa..4fbaf65064abe382d3b52062e937fe0c3b8ef3b3 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T208XRDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T2080,RAMBOOT_PBL,SPL_FSL_PBL,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index af1a23258d5261f96dc1e9da19fae2a1a87b6ee3..95720ee6a14b54912c18435e62fb21fd5ea0f23c 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SYS_EXTRA_OPTIONS="PPC_T2080,SRIO_PCIE_BOOT_SLAVE,SYS_TEXT_BASE=0xFFF4000
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index cbd12202c7d12f92fe12572b98556f928bc5bad6..bc2fc9247d741ee260ad7c9fa98719a06f7da1d6 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T208XRDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T2080"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 9ef946ba37972201145279dbd2d547fd898737f1..57f327c7a7d04ea33b792424e417491dbba254ab 100644 (file)
@@ -4,5 +4,10 @@ CONFIG_TARGET_T208XQDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T2081,RAMBOOT_PBL,SPL_FSL_PBL,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 8728f2d4899eff95cb85567a55290a64fb13dda4..74a134edb26d0b0c99607ff070a4d3373d139aa3 100644 (file)
@@ -4,5 +4,10 @@ CONFIG_TARGET_T208XQDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T2081,RAMBOOT_PBL,SPL_FSL_PBL,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 95c37dcf101c552a50a973470f2f4b04ffe304a7..85d48c38ae1abcb6cc0a5ea936ee9e1e8d2d9082 100644 (file)
@@ -4,5 +4,10 @@ CONFIG_TARGET_T208XQDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T2081,RAMBOOT_PBL,SPL_FSL_PBL,SPIFLASH"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 1b0ac476545d8a21ae36d51652ba73e601d62db3..70f87d7dc27f630a8f4f1ba543c981b798be2ce2 100644 (file)
@@ -5,5 +5,10 @@ CONFIG_SYS_EXTRA_OPTIONS="PPC_T2081,SRIO_PCIE_BOOT_SLAVE,SYS_TEXT_BASE=0xFFF4000
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 8f3bcf651c0c06c828e8838453ce6ee7944ae4f8..23ed67c78cd04826e2669f7e131786b65e5ea139 100644 (file)
@@ -3,5 +3,10 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T208XQDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T2081"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 3a35060a7c077b557ff143699f576aa63a298069..bf8884685498f65a468a0812abafdb6dd4c2df46 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T4240QDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T4160,RAMBOOT_PBL,SPL_FSL_PBL,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index ef7135efadad5b2823f7e7236399273b00caaa57..dcb9ac86a98e32461b235bf4098e4b983b12cd76 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T4240QDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T4160,RAMBOOT_PBL,SPL_FSL_PBL,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index d08f98c2575cfa411a2055f7912392834d42cef7..42e650874015371ec44c52ab670c3dbaa57a4763 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T4240QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T4160,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index e44af1eaf4a2fa685eda0554155d0238427da3db..d87eb239a7c5a4a0b9de72dff31a4d5aca798425 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T4240QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T4160"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 1cc929f01e8650c5c39d003ae03117e7ac518a92..708374bf2081d08a647868f1812e6be6aada6dfe 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T4240RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T4160"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 31e1f53b5b9bd2d4d1e93b71c961f3cda51d2056..4ee998b2fc5b121b51f60e4e7ce4141976e014ce 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T4240QDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T4240,RAMBOOT_PBL,SPL_FSL_PBL,NAND"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index a464136c6ca266ab63febed785528095fb7ce0f0..6a3320a938c2d99edf938ac5562e239708a2789d 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T4240QDS=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T4240,RAMBOOT_PBL,SPL_FSL_PBL,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 094f305aad07897047925a3d68b3c2e5601c248f..861ac5c348e033f717eb2613de2a640e1a801900 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T4240QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T4240,SECURE_BOOT"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 60afd9ed8be8b6ed78e40b347129e75506defaf1..0adca74a6d4373eea7319d104963cf9e273c61c9 100644 (file)
@@ -5,5 +5,8 @@ CONFIG_SYS_EXTRA_OPTIONS="PPC_T4240,SRIO_PCIE_BOOT_SLAVE,SYS_TEXT_BASE=0xFFF4000
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 7fe54bf3a87e3cdf1c9fbf25e1454079dc2fa7d9..7d8e826eddf6b6eda8cd03b4b8245c00492c0241 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T4240QDS=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T4240"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 0d0d26cd110189e9e01bb57d99178263346d3c7f..009ec04e07e51f59b0a742923f638e22d479ad41 100644 (file)
@@ -4,5 +4,8 @@ CONFIG_TARGET_T4240RDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T4240,RAMBOOT_PBL,SPL_FSL_PBL,SDCARD"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 01221b08a05410b2d29322312e9cf6f6be1437d8..217471aa6701b1de4f5291a943d92d008680f7ea 100644 (file)
@@ -3,5 +3,8 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_T4240RDB=y
 CONFIG_SYS_EXTRA_OPTIONS="PPC_T4240"
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index c980d95d1979bed1cfabc3b2010a371fc9059d36..f88beef2422540b687eae05edbe5411fd6b22757 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_TQM834X=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index e6d34e8f3352ee6c7261c21d35a0b8af57f72b44..2b0a2ab96d53ed5749523da5ac6fe6b1bdc26ea8 100644 (file)
@@ -4,3 +4,5 @@ CONFIG_TARGET_P1_TWR=y
 CONFIG_SYS_EXTRA_OPTIONS="TWR_P1025"
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index d06e5fa3c34d3114648a2054e756bf3a4b2170dd..a075bcc3374801e1582c5f7864a4fb6c2ec1597d 100644 (file)
@@ -7,5 +7,10 @@ CONFIG_AUTOBOOT_PROMPT="Autobooting in %d seconds, press \"<Esc>\" to stop\n"
 CONFIG_AUTOBOOT_STOP_STR="\x1b"
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 043e6173614021eebace940863caa3988047cd20..c8da464159d15affb53a5068055172256a67ca3d 100644 (file)
@@ -7,5 +7,10 @@ CONFIG_AUTOBOOT_PROMPT="Autobooting in %d seconds, press \"<Esc>\" to stop\n"
 CONFIG_AUTOBOOT_STOP_STR="\x1b"
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index dc71ddea9011d98fa36a0db9dc1b59e254fc16c1..63ddf9482880f550943806ac4bfb9214f59570fe 100644 (file)
@@ -25,4 +25,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_REQUIRE_SERIAL_CONSOLE is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
index ba3ade05949b679d6d23cdcc2cae28602a11196f..53ac1bfcdf3bb17074cb71cd30a3be0e7baf6c6a 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_VOM405=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index a01b4c12f41f43b427b2f23dd53f70e015ab4b23..3a007e191174a42c845e60c0e4c029eb629c294a 100644 (file)
@@ -17,5 +17,6 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
 CONFIG_USB_MUSB_HOST=y
index c3ceedb947921c0851f1f3fa6e9b1e18c5b6508c..18c6fae2fc8579c34dde172311ac794afe724cd0 100644 (file)
@@ -17,4 +17,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,RGMII,AHCI"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index eb1b53151ecf08b3c2bb0b88cbb12b96e903e771..da9fbb057ecd42dd6b4113d3f58a49b757d68916 100644 (file)
@@ -11,4 +11,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index cdde2bc71f18a84e9aa6037e34ebb8b56ee82920..ff3bd0047c59ebe3eef9b3cd834c599e2687e403 100644 (file)
@@ -23,4 +23,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
index 26221ce4e0b5054b0cf7ae3d9fc77dcb03ee02de..51efe06af68a67475c7572aa930b31d1a4255f82 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_ACADIA=y
+CONFIG_SYS_NS16550=y
index 4216cd76d2046fa0e356bc6a0de9a3ba0f637856..87be2636cbfe224a3fd12563d81435bcca35d13f 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_NDS32=y
 CONFIG_TARGET_ADP_AG101P=y
 CONFIG_SYS_PROMPT="NDS32 # "
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 479b4a507aae55b0d9c3a5591f33103cc1068e85..aaf6a991d5b44a2cb8940bf9e0a24be99fdcc70b 100644 (file)
@@ -19,3 +19,4 @@ CONFIG_TARGET_ALT=y
 CONFIG_SH_SDHI=y
 CONFIG_SPI_FLASH=y
 CONFIG_SPI_FLASH_BAR=y
+CONFIG_SPI_FLASH_SPANSION=y
index a0d43280e24f63a3d233cfed8c7c9fb4f332ec8d..91bb63f34b77d67a86ca54d0bbe358a8be927a09 100644 (file)
@@ -10,3 +10,4 @@ CONFIG_SYS_EXTRA_OPTIONS="NAND"
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index c5c7b5dc2e785c69a73c56f1447e8ba5fd792494..3030a95594b8cc4adeb73f4720fd579b6a9a7c12 100644 (file)
@@ -10,3 +10,5 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_DFU_TFTP=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index e93f6ac3cd1c35cec415efcb1722fc0abd2da75c..ad40b077d832d3d4ac9301dd23eea597ca52f794 100644 (file)
@@ -15,4 +15,6 @@ CONFIG_CMD_GPIO=y
 CONFIG_OF_CONTROL=y
 CONFIG_DM_MMC=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_ETH=y
+CONFIG_SYS_NS16550=y
index 0fa3720f9e921bc418d8d7eab7764336ede2b17d..27cb88154d89fb034425b2b12e5f18c8316fb5bb 100644 (file)
@@ -9,3 +9,5 @@ CONFIG_SYS_EXTRA_OPTIONS="NAND"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index 5d891043a58a9d9b3e4f96659d6e19a4606cffb8..bde7b8a37e2e91fcfdcee34b534c2e9815a47852 100644 (file)
@@ -9,3 +9,5 @@ CONFIG_SYS_EXTRA_OPTIONS="NAND"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index ffc0b0928a7a3fe6174af0965662bc679bd7886a..94dfb5a6abbab55d6ef96e6b2d48bb8e617e3390 100644 (file)
@@ -6,3 +6,5 @@ CONFIG_NOR_BOOT=y
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index de4f5862e4bdb8f7dacf03c092ccc75fca3793a0..9757142dc6ec7f3b9625c66b15fc39667eed95f5 100644 (file)
@@ -9,3 +9,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SPI_BOOT"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index 496b709d67ced585e511352439ce6d2f9f169119..0329948ab4125efd1060483215317fab8caf57e9 100644 (file)
@@ -9,3 +9,5 @@ CONFIG_SYS_EXTRA_OPTIONS="NAND,SPL_USBETH_SUPPORT"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index f5cd48c31dbbf41c28e694f5a37ff773eeb72ca7..74d9ffb677bac79c3be783075dcd7171e58f25d2 100644 (file)
@@ -12,5 +12,7 @@ CONFIG_CMD_GPIO=y
 CONFIG_OF_CONTROL=y
 CONFIG_DM_MMC=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_ETH=y
+CONFIG_SYS_NS16550=y
 CONFIG_RSA=y
index 6175abad282a9236304ad721d74d37f6bb8a5e32..8f6bf0266a2f0ce0639d8e330f455f88287b9553 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SPL_STACK_R=y
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index e810fdc138fcdbacba8b8c83d9193559f4811602..d6cd92ef9645700c93adaf539b33676ad1975aff 100644 (file)
@@ -8,3 +8,4 @@ CONFIG_SYS_EXTRA_OPTIONS="EMMC_BOOT"
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 48a184f20a133dcbb67e6fa3bbc0684c293b917f..72172cfb36fa890b2a28b0f4128f62c26b885b6e 100644 (file)
@@ -10,3 +10,4 @@ CONFIG_SYS_PROMPT="AM3517_CRANE # "
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 8857b9f3676d69019fbf5816edb4ab028ac2cb9b..cde84fed5ea9860cffd390b40be2206807d43fe0 100644 (file)
@@ -9,3 +9,4 @@ CONFIG_SYS_PROMPT="AM3517_EVM # "
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index f69e97e6577979c344c6cf19352b6007022e048a..7155c98f8f9421ff46580394fb82a1ff1c617357 100644 (file)
@@ -15,3 +15,6 @@ CONFIG_OF_CONTROL=y
 CONFIG_DM=y
 CONFIG_DM_MMC=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SYS_NS16550=y
+CONFIG_TI_QSPI=y
index 1a0b518ef6f98d5b7dcfac2d8e46dc9cc928b51e..a9b6f52117d1565ec7bbdc202ff61c9dbafa3230 100644 (file)
@@ -15,3 +15,6 @@ CONFIG_OF_CONTROL=y
 CONFIG_DM=y
 CONFIG_DM_MMC=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SYS_NS16550=y
+CONFIG_TI_QSPI=y
index 49a617f410c084a1b551c3b32ec65e596a341676..1ba1c8be2ea33b290bd13e6433f65308b5f37ff1 100644 (file)
@@ -8,3 +8,6 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
 CONFIG_SPI_FLASH_BAR=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SYS_NS16550=y
+CONFIG_TI_QSPI=y
index 9eb9972d94a1488637351ff78f9df43881224536..ff2acf03eb8cd786618cd1f371cfc168b4551a94 100644 (file)
@@ -7,3 +7,6 @@ CONFIG_SYS_EXTRA_OPTIONS="SERIAL1,CONS_INDEX=1,NAND,SPL_ETH_SUPPORT"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SYS_NS16550=y
+CONFIG_TI_QSPI=y
index f6a24cce0f17a74483e47bd8744dd66ff7deca88..095f4d0b5fda0f759e5af5bf912f3bd4ad7c5480 100644 (file)
@@ -6,3 +6,6 @@ CONFIG_SYS_EXTRA_OPTIONS="SERIAL1,CONS_INDEX=1,QSPI,QSPI_BOOT"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SYS_NS16550=y
+CONFIG_TI_QSPI=y
index a5f2b9fbd3748c149f10376058bb8e8e75e43a7d..be99599bf5da614388d894acc6ad7aca6d763733 100644 (file)
@@ -7,3 +7,6 @@ CONFIG_SYS_EXTRA_OPTIONS="SERIAL1,CONS_INDEX=1,NAND,SPL_USB_HOST_SUPPORT"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SYS_NS16550=y
+CONFIG_TI_QSPI=y
index 8d6fd1e43b95e75860cd0ddf0d629b023910bc6e..c5e467027105d98d015e83711bc820f6ed35ba19 100644 (file)
@@ -18,3 +18,4 @@ CONFIG_DM=y
 CONFIG_DM_MMC=y
 CONFIG_SPI_FLASH=y
 CONFIG_SPI_FLASH_BAR=y
+CONFIG_SYS_NS16550=y
index eb402b852426f235c6909dbd0d7c1f3a0ed8560c..e351be491ea557d785ee16e05c03764644a3e578 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_EXTRA_OPTIONS="CONS_INDEX=3"
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index e88288345d34fd906927fb22e54cea3610be27b0..8abf98ab404cb9f5095d0193b69d343b0a5cf151 100644 (file)
@@ -13,6 +13,7 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_NFS is not set
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 9084a3a904f198fc220665b1dc44b0f03788f4a5..245e6fa96d1ffeee0574b8bd0db179ff489cf0d0 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_CANYONLANDS=y
 CONFIG_ARCHES=y
 CONFIG_DEFAULT_DEVICE_TREE="arches"
 CONFIG_OF_CONTROL=y
+CONFIG_SYS_NS16550=y
index acce983596bb30c998f22e45845afc99a2648a6e..b83e7ea596497382cd933dbb2b4be632ba753e95 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/aristainetos/aristainetos2.cfg,MX6DL"
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 45e63ed368e5ba80a85662e77a3011e9825fc922..cdad556d0832d84c0b86da185e9b9cdec79c16e9 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/aristainetos/aristainetos2.cfg,MX6DL"
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 5e9f2202ba16ec4bca1e7a4c2a4e8b2bec19eabd..15fc3a9258973a48b796bda208b7302114565b00 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/aristainetos/aristainetos.cfg,MX6DL"
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index c6062444333424147827083ea9ee7db978c342f1..812122fca7433dcee8efb397d51d52ebb49ef4c6 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_TARGET_ASPENITE=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 7c2af16a27ac2609fec2fc1f98709f4ff384bed2..6f2390b9563cc38ec841783bb835d3470ca23b97 100644 (file)
@@ -8,3 +8,4 @@ CONFIG_SYS_PROMPT="U-Boot> "
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index 3aa3cb153cb66b815ebd4d13c72f675b5acd7738..ec16f34c19dc78ae29ef961c1d983e271920fbb9 100644 (file)
@@ -9,3 +9,4 @@ CONFIG_SYS_PROMPT="U-Boot> "
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index b01fdc187724e5cfc6fc0e23a2c607d39bc203ab..655c57410d871c5a910386050817a4ffbff9b314 100644 (file)
@@ -9,3 +9,4 @@ CONFIG_SYS_PROMPT="U-Boot> "
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index 998f11d72b972c7e3a60618c6d94b6154733fe1f..43b32d10c80fdea89732babb8f3bb6192889f7b9 100644 (file)
@@ -9,3 +9,4 @@ CONFIG_SYS_PROMPT="U-Boot> "
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index 78b73cffc65d20f77a85f831da59502f689864ee..29fdf3130ac72121fab08c1813996f0a1643262a 100644 (file)
@@ -9,3 +9,4 @@ CONFIG_SYS_PROMPT="U-Boot> "
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index d1a8c6c29d8f69ee8e9bb11e2bad33460611fb23..004ee254451d23486485c54f033549e429f56576 100644 (file)
@@ -10,3 +10,4 @@ CONFIG_SYS_PROMPT="U-Boot> "
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index 51b7e05370c216d843843ce8f0082c5df9416a05..c574422cfd119870c0db2a6dd734142962704a9a 100644 (file)
@@ -10,3 +10,4 @@ CONFIG_SYS_PROMPT="U-Boot> "
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index 5b01c18892c5266306eeb7b612d9fa62a02dfb2d..7ef4677f47b5bb4be20cb3778b5ff2560af3c1ac 100644 (file)
@@ -11,3 +11,4 @@ CONFIG_AUTOBOOT_STOP_STR=" "
 # CONFIG_CMD_SOURCE is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index 48ea9ba01693c112ea077cc022b8e4e893d5227c..b552421409a7029972a5cb517b825d943197fb8d 100644 (file)
@@ -10,3 +10,4 @@ CONFIG_AUTOBOOT_STOP_STR=" "
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index 78fcb7629b542c995fcc9d396b2365d6add407e9..38bb9abf2339bb480767d5b722b661eb491c4c70 100644 (file)
@@ -11,3 +11,4 @@ CONFIG_SYS_EXTRA_OPTIONS="AT91SAM9G20,MACH_TYPE=2068,BOARD_AXM"
 # CONFIG_CMD_SOURCE is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 8e5d2e5c30e930e3d5eecfa3004d4fa10c814826..52d5e2fe8970231f89d9bb224a24afe5594c9d7d 100644 (file)
@@ -18,4 +18,5 @@ CONFIG_DM=y
 CONFIG_CLK=y
 CONFIG_DM_ETH=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index cbd63fe81dd2996b8f90671e6b609a0960a4684d..a7f3c38506562a6248db13586518454eed68f545 100644 (file)
@@ -17,4 +17,5 @@ CONFIG_DM=y
 CONFIG_CLK=y
 CONFIG_DM_ETH=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index ce4a58469b8235f106f1d9ab56779543188d5e17..caa942d66c712c12db6106f5f04c0ed1e7521882 100644 (file)
@@ -14,5 +14,6 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_EMAC"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
 CONFIG_USB_MUSB_HOST=y
index 1d66807df8dd73e17718fd496d286eed330d4a93..6ecdbcc8be3b21d9eafc906dc9ea67e2df228365 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_BAMBOO=y
+CONFIG_SYS_NS16550=y
index a36a501961db0d87cfc0beb4368904b590f3dd8a..0a5a56f56f6f07dc5b5047f8afa9476d27b8c900 100644 (file)
@@ -21,10 +21,15 @@ CONFIG_CMD_BOOTSTAGE=y
 CONFIG_OF_CONTROL=y
 CONFIG_CPU=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_ETH=y
 CONFIG_E1000=y
 CONFIG_DM_PCI=y
 CONFIG_DM_RTC=y
+CONFIG_SYS_NS16550=y
+CONFIG_ICH_SPI=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_VIDEO_VESA=y
index ce1e8054bd759f6004bde01cd5f0359d972e38dc..4b1bc496cf29378903b9b35fce7bae395dc04810 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index a0b5b01830ccb67fab8f780430ea9dfa0d6638e7..2e7aff9966d221ddf1d5c2f9009506408b481649 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 38ed8da7ffcafb2f5da75fa2d104960b870b2fd4..7ec0d30767f6a3cfc86fdcfee9d991c332fd7336 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index d7e27ea5e913679f56ad22a7569af919cda4fc9f..47eb31dd6ddcdc6cbb348ee616edce389ae794f5 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 4a7e1bc5f8648fdc292fba69d498f9748b66cb73..58c0ff68b272f7c292e3aa724116c150b8ab87c8 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SYS_SDRAM_SIZE=0x20000000,ARMV7_NONSEC"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index a0d291cbf09708ef67c2283c42520c3d2f75198b..2589cb1cc090ea90a249335132805b3777ab66d6 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SYS_SDRAM_SIZE=0x20000000"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index df88a5724ae8f7c3e9373b454550e2e89560915d..ba430e6fda459b1bc3994b15048756fa6d71f0b3 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SYS_SDRAM_SIZE=0x40000000"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index d9105a616719e15e06e2b98948259fee26247db1..14db5a11e7552864f724d489e5b812ba8b9496a3 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SYS_SDRAM_SIZE=0x40000000,ARMV7_NONSEC"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index df88a5724ae8f7c3e9373b454550e2e89560915d..ba430e6fda459b1bc3994b15048756fa6d71f0b3 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SYS_SDRAM_SIZE=0x40000000"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index df88a5724ae8f7c3e9373b454550e2e89560915d..ba430e6fda459b1bc3994b15048756fa6d71f0b3 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SYS_SDRAM_SIZE=0x40000000"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 2272462ff637bb6d23b67146bd6f62a13c7ff055..3bf0b0ef1c65f7d3ccade28a9bb4f412ca0f5f87 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SYS_SDRAM_SIZE=0x01000000"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 45c0c29e53d46553938b9e4acfaddf1f43429e26..dcc9cc38460b882fc89e35b222f07186ba3d3d4b 100644 (file)
@@ -13,6 +13,9 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
+CONFIG_TEGRA20_SLINK=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 430fc7cb79fcb0f21baddb78e52ab813d1188aa6..a8eab8ce0470bf9da1f90a1c5849f0a14dd7415b 100644 (file)
@@ -4,4 +4,6 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_CC_OPTIMIZE_LIBS_FOR_SPEED=y
index 6406f20d067eb511a1d1205f378eae79f8240632..15a8f1ffbc46fda85f4e27caba59664e40951a9d 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_TARGET_BF525_UCR2=y
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_EON=y
index 6a652a07d401ab0892840a7d57cdd1643b7e9313..a5f4b738250846ec68c81306fe9eb638bf867c0d 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
index 3b9e3747421d0be1d4f06521febb621b3bfa9754..4751df44b463e0a9c95b9686293415a4866f7357 100644 (file)
@@ -6,4 +6,5 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_CC_OPTIMIZE_LIBS_FOR_SPEED=y
index 53679caaffb4d653e902502061975066c0aa49dd..356ab6462c87df88f9202f5c4ab43a53ca8c5039 100644 (file)
@@ -4,4 +4,5 @@ CONFIG_SYS_EXTRA_OPTIONS="BF527_EZKIT_REV_2_1"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_LIB_RAND=y
index 8752267695d1ce4b20be11ea44f4007538bff3f9..c1b139cac5c56cb782d8474b3fe103656f7e526b 100644 (file)
@@ -2,5 +2,6 @@ CONFIG_BLACKFIN=y
 CONFIG_TARGET_BF527_EZKIT=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NET_RANDOM_ETHADDR=y
+# CONFIG_NET_TFTP_VARS is not set
 CONFIG_SPI_FLASH=y
-CONFIG_NET_TFTP_VARS=n
+CONFIG_SPI_FLASH_STMICRO=y
index f422f426949c21762f92708afd15315ce0e379b0..383f62e46aff6eb11bbea0ec7f0b27c892dcd294 100644 (file)
@@ -6,4 +6,11 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_CC_OPTIMIZE_LIBS_FOR_SPEED=y
index f71af52fd91e58f6db5f4e59270161e52fbeacdf..bf3d79f34f9715e639bc001ad44de5d1bc349e7b 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_PROMPT="minotaur> "
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 88a3ef18d04849a68b637833e96d9e23c59e81d6..e73756102814e3529bb9218767636dcc3746ff65 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 3db74004a2d2612450c5c53f6b29bc47aaa02fdf..ba80e637639c282d44a90efb6b666703fde0ef2d 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_PROMPT="srv1> "
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 1b3d3136a7c388e53b45a80cba56561ee5cd00c4..34c774c0e54d0bb9f723ca49e7d98a46ccd39724 100644 (file)
@@ -4,3 +4,10 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
+CONFIG_SPI_FLASH_WINBOND=y
index 282575423dbfa7d7f57eace300cd97271eead3e6..eca4c858d7327158eea447dc0356f3401735091b 100644 (file)
@@ -3,5 +3,6 @@ CONFIG_TARGET_BF548_EZKIT=y
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_CC_OPTIMIZE_LIBS_FOR_SPEED=y
 CONFIG_LIB_RAND=y
index cb813eaba20c452cfa76a8d10480a15cc94ede07..4a25d2ee8336b56ddd92272abdf0b9d5b0c583d7 100644 (file)
@@ -6,5 +6,6 @@ CONFIG_SYS_PROMPT="Acvilon> "
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
 CONFIG_CC_OPTIMIZE_LIBS_FOR_SPEED=y
 CONFIG_LIB_RAND=y
index 4f9b27247615277b9e864c68cca392f6f7e5d2fd..642ca8777e250d55c7c406837b5ad818761d3e3b 100644 (file)
@@ -3,6 +3,13 @@ CONFIG_TARGET_BF609_EZKIT=y
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_NETDEVICES=y
 CONFIG_ETH_DESIGNWARE=y
 CONFIG_LIB_RAND=y
index 2cb8831cb1ca5042d6cba8aa909dfcd632b7e1ac..620d621f7ba24fb4f9db2d2b10f6abc00bd9c062 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SPL=y
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
 CONFIG_SPI_FLASH_BAR=y
+CONFIG_SPI_FLASH_STMICRO=y
index 75549d3dffcb76088a420d41cf5c75e89170e127..d807ea5108573a22d8aaeaafdef15341fdc3b959 100644 (file)
@@ -8,3 +8,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SERIAL1,CONS_INDEX=1"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index 11ee51ab16c9d8c556c98618797dbc8da79fe019..2ed64f6f3f043bcbc80cb1302551c9e9a62bec43 100644 (file)
@@ -8,3 +8,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SERIAL1,CONS_INDEX=1"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index 762eef38cdfea418887a8f8df3bc0e1b826cf2de..944145a25512e3f1fc752d9ff3ebaeaca99d96ed 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_BLACKSTAMP=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 53f4a0d88952872813acb132970db34fe572a1fa..41b5a238a70fbef69239945cc53f69fe5b2772e5 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_BLACKVME=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 272b96ee0fb76385c62ce570a934fc61e17dee28..effba783980a1e1425f5c13b1270498e1a24a395 100644 (file)
@@ -6,5 +6,6 @@ CONFIG_SYS_PROMPT="br4>"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_CC_OPTIMIZE_LIBS_FOR_SPEED=y
 CONFIG_LIB_RAND=y
index 65ea4d1f7cc87667f571abb289a06c8e7872ce32..1423dc5cdd58f39a70e73ec35ac7b12d86446ebd 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_BUBINGA=y
+CONFIG_SYS_NS16550=y
index 343432c47e3e5bc1bdcbc259d7e4fed8bbb0ddeb..a353aa11790db6788ac038296b346e2d1e04defd 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_EXTRA_OPTIONS="CADDY2"
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index 356f5494dd5ff8bda7c2520a23531470be8555d0..3844bd4a77461ed0372e7bf91f1cd07d92357218 100644 (file)
@@ -9,3 +9,4 @@ CONFIG_SYS_PROMPT="Cairo # "
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_NET is not set
+CONFIG_SYS_NS16550=y
index 6dd5b528aa1040d08a4b5109e6518cb9b62a43b0..374023eb5eb44f4714c4ef6351bb956e26d480cb 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_AUTOBOOT_KEYED=y
 CONFIG_AUTOBOOT_STOP_STR="\x0b"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 44d4fbdb9dbfa37f0dfb48e011fe6221dfefd2b3..ad7e92702e70096c76d5bc2dd3a325bbf405daac 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_CANYONLANDS=y
 CONFIG_DEFAULT_DEVICE_TREE="canyonlands"
 CONFIG_OF_CONTROL=y
 CONFIG_OF_EMBED=y
+CONFIG_SYS_NS16550=y
index c454ffee1c00d2429910442fee23ade65c53413f..65587e3fb04673cf24f94ad391ec7585fe86ea78 100644 (file)
@@ -13,6 +13,9 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
+CONFIG_TEGRA20_SLINK=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index f3f5b21be305a007ff23a580dcf0fadf2152f45b..ffe30a1c08277e3de53c5232db87ebc0adf8b3cc 100644 (file)
@@ -36,6 +36,7 @@ CONFIG_DEBUG_UART=y
 CONFIG_DEBUG_UART_BASE=0xff690000
 CONFIG_DEBUG_UART_CLOCK=24000000
 CONFIG_DEBUG_UART_SHIFT=2
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
 CONFIG_CMD_DHRYSTONE=y
 CONFIG_ERRNO_STR=y
index d68fa41c744b7ac56797862bae1243ab9dcefea2..ac64877daff33ff03087d74946d93e0d33f86f24 100644 (file)
@@ -21,13 +21,17 @@ CONFIG_CMD_CROS_EC=y
 CONFIG_CROS_EC=y
 CONFIG_CROS_EC_LPC=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_PCI=y
 CONFIG_DM_RTC=y
 CONFIG_DEBUG_UART=y
-CONFIG_DEBUG_UART_NS16550=y
 CONFIG_DEBUG_UART_BASE=0x3f8
 CONFIG_DEBUG_UART_CLOCK=1843200
 CONFIG_DEBUG_UART_BOARD_INIT=y
+CONFIG_SYS_NS16550=y
+CONFIG_ICH_SPI=y
 CONFIG_TPM_TIS_LPC=y
 CONFIG_VIDEO_VESA=y
 CONFIG_FRAMEBUFFER_SET_VESA_MODE=y
index 52336d5edfcc6ecdaf9531ef532d43fb960628e0..0f3a9afe22acbd2361c3db5356d0b2bac86fa6a9 100644 (file)
@@ -20,8 +20,13 @@ CONFIG_CMD_CROS_EC=y
 CONFIG_CROS_EC=y
 CONFIG_CROS_EC_LPC=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_PCI=y
 CONFIG_DM_RTC=y
+CONFIG_SYS_NS16550=y
+CONFIG_ICH_SPI=y
 CONFIG_TPM_TIS_LPC=y
 CONFIG_VIDEO_VESA=y
 CONFIG_FRAMEBUFFER_SET_VESA_MODE=y
index f0bfd6ff90794199498e91bd715851e309cf0009..df16a61674d38b0d6e6bfb438981682aa0ee392f 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_PROMPT="CM-T335 # "
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index a0cf5d821296d368ec9fe738e7c3771d441864f5..1d9af56683dac219cb1f2e5e8bfab7314c9a2db4 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_PROMPT="CM-T3517 # "
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 1df085db3e2284f39a20cb711bc575c4510e0097..1e1591fabd057ceeee1d2fea2672e4730f68147d 100644 (file)
@@ -8,3 +8,4 @@ CONFIG_SYS_PROMPT="CM-T3x # "
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 243204960e88273292347fbaedf2b2f0b72e01e7..6044ae94c24667326a07cb8eaf5258c49b086c5c 100644 (file)
@@ -9,3 +9,4 @@ CONFIG_CMD_USB=y
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_NFS is not set
 CONFIG_DM=y
+CONFIG_SYS_NS16550=y
index aa5c7553c0dd52e659b6e63d36a9ba5a2e808d4b..ccf380790b154e78615c2599a69658df25720f0f 100644 (file)
@@ -8,3 +8,4 @@ CONFIG_SYS_PROMPT="CM-T54 # "
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 5ef21eb42bb1ed90f7e54bf067e93e1c9a49dd6a..982cee4bcc0fda7d6447563241978227ae579f4a 100644 (file)
@@ -11,6 +11,7 @@ CONFIG_SYS_PROMPT="Colibri T20 # "
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 033836344275b508bb55f3209ee751952358a07d..6dda27116add001f0a6c97432962ee3b47a3567c 100644 (file)
@@ -11,6 +11,7 @@ CONFIG_SYS_PROMPT="Colibri T30 # "
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index ae72e339d29a1f91853b59e72fd93bb110637357..3df602f559a3bece9dccbe275869cdd4fb43cb72 100644 (file)
@@ -7,5 +7,8 @@ CONFIG_SYS_EXTRA_OPTIONS="36BIT,SDCARD,DEVELOP"
 CONFIG_CMD_TPM=y
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
 CONFIG_TPM_AUTH_SESSIONS=y
 CONFIG_TPM=y
index 67a185e10cb81ee61c90fcc6d5b5ffb0c503b9ba..c30dfae3eae626dfc7a1f3b495527506d0203e62 100644 (file)
@@ -7,5 +7,8 @@ CONFIG_SYS_EXTRA_OPTIONS="36BIT,SDCARD"
 CONFIG_CMD_TPM=y
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
 CONFIG_TPM_AUTH_SESSIONS=y
 CONFIG_TPM=y
index 14c012e95bd3eaa26c1df197fd9478016275d673..59072cc3bde8abf45bcd94829a8092293cfc0e6a 100644 (file)
@@ -8,5 +8,6 @@ CONFIG_SYS_EXTRA_OPTIONS="TRAILBLAZER,SPIFLASH,DEVELOP"
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_CMD_TPM=y
 CONFIG_DM=y
+CONFIG_SYS_NS16550=y
 CONFIG_TPM_AUTH_SESSIONS=y
 CONFIG_TPM=y
index de3b3dd76e62abfcd9c74e175b7726db68bf83be..b1e3a80817316e03bec84ef4655f0deacb365144 100644 (file)
@@ -8,5 +8,6 @@ CONFIG_SYS_EXTRA_OPTIONS="TRAILBLAZER,SPIFLASH"
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_CMD_TPM=y
 CONFIG_DM=y
+CONFIG_SYS_NS16550=y
 CONFIG_TPM_AUTH_SESSIONS=y
 CONFIG_TPM=y
index 5c4260f1b442ff97d75792d5a02e18589683eed6..8903cddd7bc82a56f830c9242ad341be4febf3ec 100644 (file)
@@ -13,10 +13,14 @@ CONFIG_CMD_TPM=y
 CONFIG_CMD_TPM_TEST=y
 CONFIG_OF_CONTROL=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_ETH=y
 CONFIG_E1000=y
 CONFIG_DM_PCI=y
 CONFIG_DM_RTC=y
+CONFIG_SYS_NS16550=y
 CONFIG_TPM_TIS_LPC=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
index 3f9b20a9eab9946d4ce888cb56c15504e4795bc6..f4592c5449a9a2cff6635712ececf47d1bed0edf 100644 (file)
@@ -19,11 +19,17 @@ CONFIG_CMD_BOOTSTAGE=y
 CONFIG_OF_CONTROL=y
 CONFIG_CPU=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_SST=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_ETH=y
 CONFIG_E1000=y
 CONFIG_PCH_GBE=y
 CONFIG_DM_PCI=y
 CONFIG_DM_RTC=y
+CONFIG_SYS_NS16550=y
+CONFIG_ICH_SPI=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_VIDEO_VESA=y
index d5f783f46e6a8cfd9e856baf7a9716650c8d5bbd..c962a615da267d5622ed38e7697dabf581e06d39 100644 (file)
@@ -6,3 +6,5 @@ CONFIG_SYS_EXTRA_OPTIONS="D2NET_V2"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SYS_NS16550=y
index ffdabab1499acc19097096f008090f6d6a1fb8f8..c253c38321a25d75cfe0958e4cd12a8986763339 100644 (file)
@@ -7,3 +7,6 @@ CONFIG_SYS_EXTRA_OPTIONS="DA850_AM18X_EVM,MAC_ADDR_IN_EEPROM,SYS_I2C_EEPROM_ADDR
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index 3f2c3c87f8c48b6c05cf32a3a31a8050f696ef6c..75f4eb65d2145018bad5b6be7b256ecb580bcc3a 100644 (file)
@@ -8,3 +8,6 @@ CONFIG_SYS_PROMPT="U-Boot > "
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index 6b0bde2e56cf6366d203701040beaf04e33f831d..d8c002ff0cb3e591c060f3821d574e8122eda7f2 100644 (file)
@@ -5,3 +5,6 @@ CONFIG_SYS_EXTRA_OPTIONS="MAC_ADDR_IN_SPIFLASH,USE_NOR,DIRECT_NOR_BOOT"
 CONFIG_SYS_PROMPT="U-Boot > "
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index f0b31939b502d3fcb7525a46a5f80673d176c99d..dc5077da898e809f971717ea2fca377c5029184d 100644 (file)
@@ -13,6 +13,8 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
 CONFIG_TEGRA114_SPI=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
index 6f612d9098133161f7c07e4e0ab1e453cce94316..cdcd34cb478e49e19ca0b553c27632d9f5fb0062 100644 (file)
@@ -8,6 +8,9 @@ CONFIG_SPL=y
 CONFIG_CMD_USB=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USB_EHCI_HCD=y
index 258e4d69e92e19db8dea49a994d72794a18896be..4c4329dc783ca87212eed2f83a493024c85633fb 100644 (file)
@@ -9,6 +9,9 @@ CONFIG_CMD_USB=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NAND_PXA3XX=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USB_EHCI_HCD=y
index ecf766ef9be83087879f54bc1b3cda3ac8ffd3fc..f75bb6204d0cd518127221a192a2de1ca99e9c8d 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_INTIP=y
 CONFIG_SYS_EXTRA_OPTIONS="DEVCONCENTER"
+CONFIG_SYS_NS16550=y
index 88cf2990f486ed21f22d1839456c4aa0744c0e44..64a0fb01b360a7e884a100972b4fc24040e44fe1 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SPL=y
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_DM=y
+CONFIG_SYS_NS16550=y
index 60ead72256085ea0045c7afb35ebe13c84e1e5e4..d782f4559edf51e2b2ac81f43846fb5248093fe8 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 04037ba31be74f6bc1bbf87b82959266ebc8ddf4..fe15a4af1732c273326ebd39702f071ce5069054 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_AUTOBOOT_KEYED=y
 CONFIG_AUTOBOOT_STOP_STR=" "
 # CONFIG_CMD_ELF is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 97bdd516b9dd04d6933809b0f47e2ce9cda5c6db..b011cb19eaf45e946c17edf866c157ed9a3a6a98 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_4xx=y
 CONFIG_TARGET_DLVISION=y
 # CONFIG_CMD_ELF is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index c56dc5ba070a0e12121c088b2e954cc53a252e4e..1c4e502aad7b3514ef6d8592974937f7f9ce41e7 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_DNS325=y
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 819cf1f491a6b8fad5784dfe49c2dc82de209e4f..43e6423726a5a625316a5db05d455c6eb7e8b831 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_PROMPT="DockStar> "
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 34393c0d93b6560af1f82f9456a65efca0d88d18..3205bd5754b76c1594c6ba1d85f6b246f866b3ba 100644 (file)
@@ -17,3 +17,6 @@ CONFIG_OF_CONTROL=y
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
 CONFIG_SPI_FLASH_BAR=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SYS_NS16550=y
+CONFIG_TI_QSPI=y
index e69ba1a04e4928eadf4b090e933c5b6e75c6bed5..7bff294fbb66b54875f9f8629250c3d5d51ac4de 100644 (file)
@@ -15,3 +15,6 @@ CONFIG_OF_CONTROL=y
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
 CONFIG_SPI_FLASH_BAR=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SYS_NS16550=y
+CONFIG_TI_QSPI=y
index fa485050e0838c47eab45bb0ccb04f7408c8a8a0..1be2f5b410e4cb4f364e99c0f31cb4b72a349764 100644 (file)
@@ -11,3 +11,6 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
 CONFIG_SPI_FLASH_BAR=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SYS_NS16550=y
+CONFIG_TI_QSPI=y
index f9acfe50361fb63c9374f4dc42ba07850ac542c2..4370b9624c66537c3a4c11dde7c785651574a9a0 100644 (file)
@@ -11,3 +11,6 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SYS_NS16550=y
+CONFIG_TI_QSPI=y
index 3965660fd493d137c23a9993405a408a42989286..204483a5e031f0b56a804030d6e2f2fe8fa979fd 100644 (file)
@@ -12,3 +12,6 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SYS_NS16550=y
+CONFIG_TI_QSPI=y
index 3338f94aad678a3996b9015f258efed46e26ab1e..f7174e60a7f3b294477cf23344fbce15e9a078da 100644 (file)
@@ -10,3 +10,5 @@ CONFIG_AUTOBOOT_STOP_STR="\x1b\x1b"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index ec2a7490a35e39a80c93c333a79e846ab5e80622..966fa9ebf0dc4621f30f1c51b724a04a4d62424d 100644 (file)
@@ -1,12 +1,14 @@
 CONFIG_ARM=y
 CONFIG_KIRKWOOD=y
 CONFIG_TARGET_DREAMPLUG=y
+CONFIG_HUSH_PARSER=y
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
+CONFIG_CMD_SF=y
+CONFIG_CMD_USB=y
 # CONFIG_CMD_SETEXPR is not set
-CONFIG_SPI_FLASH=y
-CONFIG_HUSH_PARSER=y
 CONFIG_CMD_DHCP=y
-CONFIG_CMD_SF=y
 CONFIG_CMD_PING=y
-CONFIG_CMD_USB=y
+CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SYS_NS16550=y
index f833704d5227fd865df81da5e8ae8fbef02922a0..81d089e4cb12e42bdf2a5eab82d133a61f9590d7 100644 (file)
@@ -8,3 +8,4 @@ CONFIG_SYS_PROMPT="duovero # "
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 2d5c673924171ff983c9a4a64aa2b3746f4113fd..db2d159fd276030b7060f12086ff14d69d76abca 100644 (file)
@@ -11,6 +11,8 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
 CONFIG_TEGRA114_SPI=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
index f38d29ca392da4ec2bbc3c66869f198561a6a065..6fe19c7a93e3f3f75606b63fa6021727d94e02c3 100644 (file)
@@ -7,3 +7,5 @@ CONFIG_SYS_PROMPT="ea20 > "
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index 5b1c8dc262a21d39ccbcb68ba957f5906e723685..9ca47e657991a5ef1f6f011696f68c369713e650 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_PROMPT="ECO5-PK # "
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index d2c3f22b2cd8e5356e2b0a5dd9d1e5e72e2325dc..6ed785f7f80f7f53a96d70bd69f9c9e185cfcacd 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_EDMINIV2=y
 CONFIG_SPL=y
 CONFIG_SYS_PROMPT="EDMiniV2> "
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index fa53e4aa0981623ca9f53ced179d3ba4d551b300..2daab36b3b4853795e7ee4c4831f0076b8fe1032 100644 (file)
@@ -13,5 +13,5 @@ CONFIG_DEBUG_UART=y
 CONFIG_DEBUG_EFI_CONSOLE=y
 CONFIG_DEBUG_UART_BASE=0
 CONFIG_DEBUG_UART_CLOCK=0
-# CONFIG_X86_SERIAL is not set
+CONFIG_ICH_SPI=y
 CONFIG_EFI=y
index 2645d900b88642543e78e10826bd3efb5ce0bb74..04d86117cb8c95f3f76077ef1165ee233a2a179b 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_PROMPT="U-Boot> "
 # CONFIG_CMD_LOADS is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index 76932eaf5afa8163a37d089857245e1b94e218e9..c11bde4377a192b499f7a8cf2ad4121f5403a0cf 100644 (file)
@@ -37,6 +37,7 @@ CONFIG_DEBUG_UART=y
 CONFIG_DEBUG_UART_BASE=0xff690000
 CONFIG_DEBUG_UART_CLOCK=24000000
 CONFIG_DEBUG_UART_SHIFT=2
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
 CONFIG_CMD_DHRYSTONE=y
 CONFIG_ERRNO_STR=y
index da5df04cbc5534e241e2c729abd9f525156f56a5..c714d0d35e4e24ef644c8b01ed828edb1ec8c012 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_PROMPT="FX12MM:/# "
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 60eee6731ed3f667e7b97bd0a890c13143d96140..ac3841260d65a8c1f1fdebbba7bae6b6ab16def3 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SYS_TEXT_BASE=0xF7F60000,RESET_VECTOR_ADDRESS=0xF7FFFF
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 09ad33080a1058c7d91aef404ca9317c8ed8d684..67770d86d851b4f0fbbd199283e1a8e9003765c5 100644 (file)
@@ -23,5 +23,6 @@ CONFIG_SYS_EXTRA_OPTIONS="CONS_INDEX=5"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_AXP_DLDO1_VOLT=3300
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
 CONFIG_USB_MUSB_HOST=y
index 725b37e49849236a264ebece9ede099c31b282ee..3612350e53f5457b3b34a542e6987bc21ce16877 100644 (file)
@@ -15,10 +15,15 @@ CONFIG_CMD_BOOTSTAGE=y
 CONFIG_OF_CONTROL=y
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_ETH=y
 CONFIG_ETH_DESIGNWARE=y
 CONFIG_DM_PCI=y
 CONFIG_DM_RTC=y
+CONFIG_SYS_NS16550=y
+CONFIG_ICH_SPI=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 2dfebbbb8d7fa09faba3933b47df8875c4cdc6d0..9b06cb6ee1191d3408a4dfbad41baa28669d4200 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_4xx=y
 CONFIG_TARGET_GDPPC440ETX=y
 CONFIG_AUTOBOOT_KEYED=y
 CONFIG_AUTOBOOT_STOP_STR=" "
+CONFIG_SYS_NS16550=y
index d318f82c4ca1b9480a4dc88a9f19fd804ddb53b4..61444ff071fc91b30b0bacd1825ea2306efc67ae 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_GLACIER=y
 CONFIG_DEFAULT_DEVICE_TREE="glacier"
 CONFIG_OF_CONTROL=y
 CONFIG_OF_EMBED=y
+CONFIG_SYS_NS16550=y
index 98bcaf4665e4e83d7ebd5bc1cb0449348634882e..11d7da2cc06006674c8492fffcf799bd8e080cba 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_DEFAULT_DEVICE_TREE="glacier"
 CONFIG_SYS_EXTRA_OPTIONS="SYS_RAMBOOT,SYS_TEXT_BASE=0x01000000,SYS_LDSCRIPT=board/amcc/canyonlands/u-boot-ram.lds"
 CONFIG_OF_CONTROL=y
 CONFIG_OF_EMBED=y
+CONFIG_SYS_NS16550=y
index 3f4cd1dac2aa7d7608e022216e12af4d3c5d9e9c..779daf60498eae2b27e24a405af80b1ae52733fe 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_PROMPT="GoFlexHome> "
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index b0dfa9011fa0b20dcc726969593b6d8f04ab2e81..01aa817a2091e317c2370eaee571e4303e63e62e 100644 (file)
@@ -19,3 +19,4 @@ CONFIG_TARGET_GOSE=y
 CONFIG_SH_SDHI=y
 CONFIG_SPI_FLASH=y
 CONFIG_SPI_FLASH_BAR=y
+CONFIG_SPI_FLASH_SPANSION=y
index ab3f760982ee044015f854c480d505855f9de0ce..d2c5e6a5b4d40db711c3d7c9a7f335d4461383b0 100644 (file)
@@ -5,3 +5,6 @@ CONFIG_TARGET_GPLUGD=y
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SYS_NS16550=y
index a8d339ced412021b4e0579cfd17b8b706990871c..f717acf7c2c49c7121a14052dec54602f7b8af81 100644 (file)
@@ -22,4 +22,5 @@ CONFIG_SYS_EXTRA_OPTIONS="CONS_INDEX=5"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_AXP_DLDO1_VOLT=3300
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
index b7f79a3e064ac484b80ceac1c298460046db7fbf..7e20dbb00d42ba6557bd1163a4a96a60065e9b31 100644 (file)
@@ -1,11 +1,12 @@
 CONFIG_ARM=y
 CONFIG_KIRKWOOD=y
 CONFIG_TARGET_GURUPLUG=y
+CONFIG_HUSH_PARSER=y
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
+CONFIG_CMD_NAND=y
+CONFIG_CMD_USB=y
 # CONFIG_CMD_SETEXPR is not set
-CONFIG_HUSH_PARSER=y
 CONFIG_CMD_DHCP=y
-CONFIG_CMD_NAND=y
 CONFIG_CMD_PING=y
-CONFIG_CMD_USB=y
+CONFIG_SYS_NS16550=y
index 81e3398886b41b396bc3ef371e001eaaf4cadac0..234bbeafdf6324f6cc6edbab0df495b06c1fb8d6 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_KILAUEA=y
 CONFIG_SYS_EXTRA_OPTIONS="HALEAKALA"
+CONFIG_SYS_NS16550=y
index 87ddd8486cfeac5089d111ab698a717b84617302..a3f73ccac8444ba149aeeb4e77f4e65999673dee 100644 (file)
@@ -12,6 +12,7 @@ CONFIG_SYS_PROMPT="Tegra20 (Harmony) # "
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 6b2b5d34d7e6b7aa472c9bb96f711cb4175cfb7d..f6c531f53fd76996a15c374f8e059c43ee39e2a6 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_HRCON=y
 CONFIG_AUTOBOOT_KEYED=y
 CONFIG_AUTOBOOT_STOP_STR=" "
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index a059dd9f8ddeaaa48822d7cdb7211fabee1ce12d..aad015a57e093849353f753ba61efa1c35f0ae74 100644 (file)
@@ -1,5 +1,5 @@
-CONFIG_SYS_EXTRA_OPTIONS="HRCON_DH"
-
 CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_HRCON=y
+CONFIG_SYS_EXTRA_OPTIONS="HRCON_DH"
+CONFIG_SYS_NS16550=y
index a15a15ad4ba32adef4cb3299b02b785317047198..ad03341cfa049b0bd3086e91f5271e5cca2ba91e 100644 (file)
@@ -12,4 +12,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,MACPWR=SUNXI_GPH(21)"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 3f1624c62159285d8e6ec85cd22ec7d72c4c5f6f..4969411585c92d3dd917968901d9aeeb03d7f340 100644 (file)
@@ -18,4 +18,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
index 6c4cd56ec6ecab017572efd2d857efdaca066cc7..ab14ac7c38619d54bb6fc35d290443476a123fff 100644 (file)
@@ -18,4 +18,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
index 00e1458fccbceb879bf561844449f8184db827bd..70f9e6ce2a010a13ea1606f41460a13cb2b6922c 100644 (file)
@@ -17,4 +17,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
index 19cbfd568b9f28aa91f1daa79ddba4d536035572..a229f46684b14397f568d8c636e0b29b280a0962 100644 (file)
@@ -1,12 +1,13 @@
 CONFIG_ARM=y
 CONFIG_KIRKWOOD=y
 CONFIG_TARGET_IB62X0=y
+CONFIG_HUSH_PARSER=y
 CONFIG_SYS_PROMPT="ib62x0 => "
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
+CONFIG_CMD_NAND=y
+CONFIG_CMD_USB=y
 # CONFIG_CMD_SETEXPR is not set
-CONFIG_HUSH_PARSER=y
 CONFIG_CMD_DHCP=y
-CONFIG_CMD_NAND=y
 CONFIG_CMD_PING=y
-CONFIG_CMD_USB=y
+CONFIG_SYS_NS16550=y
index 771a0932503288478bc90468dc2445f55d877b71..36fd884978f3109c7e232f2594baa9d9c2e1b0b7 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_ICON=y
+CONFIG_SYS_NS16550=y
index af1c1fcf6078f4495814dc127dca1bfb3a1e71a8..3b4d37ed67604f0a297ae4eb8acd4495d18f0e4c 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_PROMPT="iconnect => "
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 821c6fa3423d49985885638ad117e69b04039da6..deafa38801e11e11d81aa1f021881f0e1cb03b5c 100644 (file)
@@ -8,3 +8,4 @@ CONFIG_AUTOBOOT_KEYED=y
 CONFIG_AUTOBOOT_PROMPT="Enter password - autoboot in %d seconds...\n"
 CONFIG_AUTOBOOT_DELAY_STR="ids"
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index b2ce2adafff3aeca4c58ee49133ddabab14ae333..b9e671144c3c4cb7725720fe3ed033d461e3903e 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_EXTRA_OPTIONS="MACH_TYPE=MACH_TYPE_IGEP0020,BOOT_ONENAND"
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 6574c3f10ce6f2d44482b0b3710ff95686c2cae3..011ebace8225860514e185cbf63b6fe60b8b03b3 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_EXTRA_OPTIONS="MACH_TYPE=MACH_TYPE_IGEP0020,BOOT_NAND"
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 726ff122a1be2821b14556a7e3108b3ba51d3c15..63ce633362f66d39661468b2a4d61907dca1cc67 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_EXTRA_OPTIONS="MACH_TYPE=MACH_TYPE_IGEP0030,BOOT_ONENAND"
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 54f1ff8bfd7af33a8e5eb51e98094685e8f25af9..dce7e064bc6badf0e91ffbe56eed8e2a660f1a64 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_EXTRA_OPTIONS="MACH_TYPE=MACH_TYPE_IGEP0030,BOOT_NAND"
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index cebf1c604a45047ed9c9eeff5c5bd5e42cfa67d9..ba160ef13b579bca121a7e86d82b7a302c54ee9e 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_EXTRA_OPTIONS="MACH_TYPE=MACH_TYPE_IGEP0032,BOOT_ONENAND"
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 1c682938df1b526a5f8414ac4384e971a48ee963..43b12fd5e2f42486890ea98f6e7dcda25c04b2af 100644 (file)
@@ -18,5 +18,6 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
 CONFIG_USB_MUSB_HOST=y
index a7b3a9fdca11d4838fa68a891f1a41ed783e1798..c2347b817c39d3c97ec9a3cd666339debddd21c8 100644 (file)
@@ -17,4 +17,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
index c0c6cb2f402939621b119ecacca5f95ae5ddd128..4bdd72e70d9ce5c48320e0ef2d56300eb9997f0d 100644 (file)
@@ -20,4 +20,5 @@ CONFIG_SYS_EXTRA_OPTIONS="CONS_INDEX=2"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
index 0a17cf770c763ff458436cc354d735e23ab9ad5b..adbdd0a3e2b2d49311cbd932fc1215de80fea5d7 100644 (file)
@@ -17,4 +17,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
index f314059a80863f036ab012f6bd6dddde1d291ad1..2d039746800bb367a2beb74d8b986df634bf203d 100644 (file)
@@ -6,3 +6,5 @@ CONFIG_SYS_EXTRA_OPTIONS="INETSPACE_V2"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SYS_NS16550=y
index 898f54489db553c0d27610ae9be646bca3874498..7893f640fdf315b99f032ceade99cd5c657483bc 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_INTIP=y
 CONFIG_SYS_EXTRA_OPTIONS="INTIB"
 CONFIG_AUTOBOOT_KEYED=y
 CONFIG_AUTOBOOT_STOP_STR=" "
+CONFIG_SYS_NS16550=y
index 52829dabb6956a764be8672cab435c2c4facb6ae..b433cdd9173c247ef33aa4b2230abd3ddfef2822 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_4xx=y
 CONFIG_TARGET_IO64=y
 CONFIG_AUTOBOOT_KEYED=y
 CONFIG_AUTOBOOT_STOP_STR=" "
+CONFIG_SYS_NS16550=y
index 7b7180571130bbcefb19462c79c1826a20f79154..17c9c9d7717e74d80d7d9e48fc50d30997d3c9ec 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_AUTOBOOT_KEYED=y
 CONFIG_AUTOBOOT_STOP_STR=" "
 # CONFIG_CMD_ELF is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 63f0c37c607072f6cd4a811f548491e336849e34..bc5e026b782bbc139618de6cd4e5112b9c3655b6 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_AUTOBOOT_KEYED=y
 CONFIG_AUTOBOOT_STOP_STR=" "
 # CONFIG_CMD_ELF is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 847a25d630f568b5aecb731dde9e6bd58e249f08..e2aa906c487aa08c6b89a6bac10ed81abb730236 100644 (file)
@@ -6,4 +6,6 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_CC_OPTIMIZE_LIBS_FOR_SPEED=y
index a353beb81270ae5ae950d5757227b00d1ad1fa8e..b02b496594af0ba92527fdaee7642b2780d4c849 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_PROMPT="U-Boot > "
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 443a1c72aee53167fa9a0f950c55330b76ec68a2..0e140f95191ec2665b20d558f79de011b7833eaa 100644 (file)
@@ -12,5 +12,6 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_EMAC,MACPWR=SUNXI_GPH(19)"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
 CONFIG_USB_MUSB_HOST=y
index bd60d151357ea3670b2e0d3dea7827806ca08bd4..8bc3015c447ea9fcfb838d698f607d767b4492f7 100644 (file)
@@ -13,6 +13,8 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
 CONFIG_TEGRA114_SPI=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
index c0d82107c164c27854cbed81ecc2598a67098b25..9fb9dac2e7eacf2312c175df4fd75cd8882333ed 100644 (file)
@@ -11,3 +11,5 @@ CONFIG_SYS_PROMPT="K2E EVM # "
 CONFIG_OF_CONTROL=y
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index 4e747ae555d05e81782d67c95151b983e4926a94..7bdf7a4550d1d58ebd5ff1029f3aa260e684692b 100644 (file)
@@ -10,3 +10,5 @@ CONFIG_SPL=y
 CONFIG_OF_CONTROL=y
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index d5a4ef2a17df20f22d38d2f25bdc782fda83783e..940d483cbfa0a137a9289f2da1a42cc7b46f0db6 100644 (file)
@@ -11,3 +11,5 @@ CONFIG_SYS_PROMPT="K2HK EVM # "
 CONFIG_OF_CONTROL=y
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index 47fcad347991b4ac9dbd3b6dc3610a1f5d8322cb..1b21ed05daf890d6c3befb308e499c295704a4e2 100644 (file)
@@ -11,3 +11,5 @@ CONFIG_SYS_PROMPT="K2L EVM # "
 CONFIG_OF_CONTROL=y
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index 849231492b727cce7186815d930d8ca314eb8dff..a2c4294abc1e4e845974da8942a41a88035b49f3 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_KATMAI=y
+CONFIG_SYS_NS16550=y
index 0054cc6db86f020dc637aca8ecc9856a6e9d7444..5c77b0c1fe005ea111c0b06b1b975c1d1e129f2e 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_KILAUEA=y
 CONFIG_SYS_EXTRA_OPTIONS="KILAUEA"
+CONFIG_SYS_NS16550=y
index b903f6ed4d106fdb7a4d471daea6c0156edfb98c..d2625e58552603cdf6fe12cfd851437ba27c364b 100644 (file)
@@ -5,3 +5,5 @@ CONFIG_SYS_EXTRA_OPTIONS="KM_KIRKWOOD_128M16"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index 7fc11127281a6d2d6953555e3cd33fbab31a4436..4db809e2e666fa0d5a9f60966d13b423f0bfa4d6 100644 (file)
@@ -5,3 +5,5 @@ CONFIG_SYS_EXTRA_OPTIONS="KM_KIRKWOOD"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index a310fb712978fd4a2becc39b8098802555510257..98b1c10ac4bead39ff54876930087c60c9277625 100644 (file)
@@ -5,3 +5,5 @@ CONFIG_SYS_EXTRA_OPTIONS="KM_KIRKWOOD_PCI"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index 519b39d0c59e5f729c0ced9b613e39d7028af644..b4371ffa79ed7410d70205a718e4f3ff8e0bc42d 100644 (file)
@@ -5,5 +5,9 @@ CONFIG_SYS_EXTRA_OPTIONS="KMCOGE4"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index a8b52758c8a004ddec6ca5f505dcfb41f490ffb9..66231628c3ba617c54b9c3471d8375a28e0cb7a4 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_KM8360=y
 CONFIG_SYS_EXTRA_OPTIONS="KMCOGE5NE"
+CONFIG_SYS_NS16550=y
index 95c61f1d2c1b8338046b77733ac37918e2c01738..0b4fee110ef23d39d8f622f314fa01e0d5cc5188 100644 (file)
@@ -5,3 +5,5 @@ CONFIG_SYS_EXTRA_OPTIONS="KM_COGE5UN"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index 3374ab02e58e31811b8d9ac6cf0573c2e55345b0..98c4cc9915b4c02145c2be8945407641a5b6e62e 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_KM8360=y
 CONFIG_SYS_EXTRA_OPTIONS="KMETER1"
+CONFIG_SYS_NS16550=y
index f948b2bbae84f4a556e8be125b6b3a4b6d8b9cc1..bf55746515bfdc4a36f7fbb14837fe0453e5da5f 100644 (file)
@@ -5,5 +5,9 @@ CONFIG_SYS_EXTRA_OPTIONS="KMLION1"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_ESPI=y
index 158fe39505a6bea42eb347e14a3f628a3dd4b68c..13a3187c8aa3a40a68286b50be9f2bdbde470638 100644 (file)
@@ -5,3 +5,5 @@ CONFIG_SYS_EXTRA_OPTIONS="KM_NUSA"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index 11c53b5701e8b1211a81d4c4bd77dc08088ac8ba..6d7896e1e63b195cd3d4ae187776159e8941ea82 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_TUXX1=y
 CONFIG_SYS_EXTRA_OPTIONS="KMOPTI2"
+CONFIG_SYS_NS16550=y
index d754ac038c0166bbd9d6c0b9ef93d27daab19261..0f4c51b1577c9e35bc9067d77ffdedabe2fa63f0 100644 (file)
@@ -5,3 +5,5 @@ CONFIG_SYS_EXTRA_OPTIONS="KM_SUGP1"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index fd4bb9ac76a39eba257972b7ca7b24c02b74ad6b..6cc721ba9970ddd19a67120c861059b37bf98513 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_TUXX1=y
 CONFIG_SYS_EXTRA_OPTIONS="KMSUPX5"
+CONFIG_SYS_NS16550=y
index 5f56925e799dc15c05a7babad845fc53d0b0356a..0c27f173bede29f7cd013bbfed7439711666411e 100644 (file)
@@ -5,3 +5,5 @@ CONFIG_SYS_EXTRA_OPTIONS="KM_SUV31"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index aee988622bd28bdf8fdcac45e208f5a8b800743e..6acf42079703344443e315c386d03e03be325cbc 100644 (file)
@@ -1,4 +1,5 @@
-CONFIG_SYS_EXTRA_OPTIONS="KMTEGR1"
 CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_SUVD3=y
+CONFIG_SYS_EXTRA_OPTIONS="KMTEGR1"
+CONFIG_SYS_NS16550=y
index 5cffd53ac99605da2edb36d22aa889b3e06f4f43..a4427acd990ef977fdca5597103fcb5f14f32c72 100644 (file)
@@ -1,4 +1,5 @@
-CONFIG_SYS_EXTRA_OPTIONS="KMTEPR2"
 CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_TUXX1=y
+CONFIG_SYS_EXTRA_OPTIONS="KMTEPR2"
+CONFIG_SYS_NS16550=y
index 512d12cc555ec1d0c5034c11873fde3b7892e6d6..c2ee6be8233c5906b91639379c2326d61843d1b4 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_SUVD3=y
 CONFIG_SYS_EXTRA_OPTIONS="KMVECT1"
+CONFIG_SYS_NS16550=y
index 848aa553e539bac193b1690cf4115db427ab7b7f..fc8a567fc2f3851af20cf06233944221ac835032 100644 (file)
@@ -19,3 +19,4 @@ CONFIG_TARGET_KOELSCH=y
 CONFIG_SH_SDHI=y
 CONFIG_SPI_FLASH=y
 CONFIG_SPI_FLASH_BAR=y
+CONFIG_SPI_FLASH_SPANSION=y
index 0f56bfa83570aa806d717887e52499fb2dd18f77..10d39eb20aa648d3bbcf0f9d688da890f30d650f 100644 (file)
@@ -19,3 +19,4 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_ITEST is not set
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index a43aca3fc8a72f073005475d2963fc8aa7a64f65..77f1fb3102fdb122e01ebc43eb561258af84e1cf 100644 (file)
@@ -19,3 +19,4 @@ CONFIG_TARGET_LAGER=y
 CONFIG_SH_SDHI=y
 CONFIG_SPI_FLASH=y
 CONFIG_SPI_FLASH_BAR=y
+CONFIG_SPI_FLASH_SPANSION=y
index 940da9213a68472fe01c8c84fbb86be8455a3401..2f16339d18b085f9f555b95bd13df98f2845b7ea 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SYS_FSL_DDR4"
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index 613fc288a0fd72814ff4ccfc36bd044c806eef7d..fe84419ebdfb9e5c0fda121f888de9ba031d30c9 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SPL_FSL_PBL,NAND_BOOT"
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index 97d08f1cc9b1029c545f942314bf220e394d627e..025a8f2825a7a674b9d801cf670c26fecfaa3588 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SECURE_BOOT"
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index af76fa02d1f5d91765e374e9a2feb690be7f3bdd..6878df4980dcf7c876d978907781844a139d7c35 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_TARGET_LS1021AQDS=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index 44c7f50b2ca1ac506ccb2f5a01b6d2f85332e6a1..0f740fd902d5d1947a6bee20f10e2266431d00a6 100644 (file)
@@ -8,5 +8,9 @@ CONFIG_SYS_EXTRA_OPTIONS="QSPI_BOOT"
 CONFIG_OF_CONTROL=y
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_DSPI=y
+CONFIG_FSL_QSPI=y
index 0fb637e7d54bbde20c087703a3fd11c83d9ee60f..3249b4884fb5e0fcb831448a56d26cbaa77fd39f 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SPL_FSL_PBL,SD_BOOT"
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index 0d2c9a0ff3747df5f117cab32a5e5a01448eee6a..bf19c332ebc9d01d3dc36050e3b9a42d28ad7d08 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SECURE_BOOT"
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index 0a4b3eafc694b506d48eaa7b363e56dc1272c3b8..aa874fdcfd166753146e2482048dfbfbb11b065a 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_TARGET_LS1021ATWR=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index 5bb52bb28f6916f4b8cc3bf1d05f5c59767f1211..0c71df64aabe11ac6423009a9c5af0c04ba67e91 100644 (file)
@@ -8,5 +8,10 @@ CONFIG_SYS_EXTRA_OPTIONS="QSPI_BOOT"
 CONFIG_OF_CONTROL=y
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_DSPI=y
+CONFIG_FSL_QSPI=y
index 2136ab285df1f0f2cc34ba66d3cd543fbc40b960..f6d4b4a52693b2ad1ba2069051649c3ca4affc35 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SPL_FSL_PBL,SD_BOOT"
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index 9f2d27de6e761aba3a25a1ecd215daac024f1ec1..2b4ebd9510fd78b4e266de8f6e46449e41ab5ae4 100644 (file)
@@ -7,5 +7,10 @@ CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SPL_FSL_PBL,SD_BOOT,SD_BOOT_QSPI"
 CONFIG_OF_CONTROL=y
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
+CONFIG_FSL_DSPI=y
+CONFIG_FSL_QSPI=y
index a47636048f4c2c41e237a5278088afe5f8140f2c..3feac91cbf0232d3e3b8e8fa65738a9c33779190 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_ARM=y
 CONFIG_TARGET_LS1043ARDB=y
 CONFIG_SYS_EXTRA_OPTIONS="SYS_FSL_DDR4"
+CONFIG_SYS_NS16550=y
index 784ea2061ff59648241409707a797527baab6545..605dbc0d6a7c292d635f9f3207ccdad486c1aee2 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_ARM=y
 CONFIG_TARGET_LS1043ARDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SPL_FSL_PBL,NAND_BOOT,SYS_FSL_DDR4"
+CONFIG_SYS_NS16550=y
index 4b94e93a7482cdf1af845754d8d03c85f95dcf98..ea925c33f40f0e3e45fbc50a8d4975766fc89735 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_ARM=y
 CONFIG_TARGET_LS1043ARDB=y
 CONFIG_SPL=y
 CONFIG_SYS_EXTRA_OPTIONS="RAMBOOT_PBL,SPL_FSL_PBL,SD_BOOT,SYS_FSL_DDR4"
+CONFIG_SYS_NS16550=y
index 9c82e17d6421a022a7ba53d144489ba116abd38d..0505d09f75d1b151e1a913c89f5dcdee98415077 100644 (file)
@@ -12,3 +12,4 @@ CONFIG_SYS_EXTRA_OPTIONS="EMU,SYS_FSL_DDR4"
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 # CONFIG_CMD_MISC is not set
+CONFIG_SYS_NS16550=y
index 9d04218fa98adc86e9214ceb59cf4d3f553e9dfe..220a65a41585ba07c55f5fbe09f2aebc0144f511 100644 (file)
@@ -13,3 +13,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SIMU"
 # CONFIG_CMD_NFS is not set
 # CONFIG_CMD_MISC is not set
 CONFIG_NET_RANDOM_ETHADDR=y
+CONFIG_SYS_NS16550=y
index 78b121deaf826924fb9fdfb7ef3b8a985e261e46..5b4f7ebdee652bf2ea597fe54ff72305db1b407b 100644 (file)
@@ -11,4 +11,5 @@ CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_DM=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
 CONFIG_FSL_DSPI=y
index ce2a81cc34aac12638cddad585ea1d1f23d0dfe4..c010e58b1ac6b0c0ed73ba5e573c7bceb2bcd05b 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SYS_FSL_DDR4,NAND"
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index d0f16f2b2df19d42c2c0b33cef92c369b54abc36..764e48f5f4c33790ec38fa35d85d29c2390aa66b 100644 (file)
@@ -11,4 +11,5 @@ CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_DM=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
 CONFIG_FSL_DSPI=y
index 25a6f71c0164c440d1cfb5afec86214add006573..76d4d34fcb4f85997eb864d82fc5f99e5320332c 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SYS_FSL_DDR4,NAND"
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index a086de8c8305863a89fd911a76aac7682a7a33db..7264c2d42b3d2a390cb95c46856e453116fcc322 100644 (file)
@@ -7,3 +7,5 @@ CONFIG_SYS_EXTRA_OPTIONS="LSCHLV2"
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index 7d48abdf0a2d56d6742520c23c3d0f2e3c73a1f5..62acb40d8e5bb89d2dec17c4e95da4c19678df83 100644 (file)
@@ -7,3 +7,5 @@ CONFIG_SYS_EXTRA_OPTIONS="LSXHL"
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index d42b4a902f872993dce506c9a44b34082328088c..7babe26e6c0e12d090b804edaab2471ef586be64 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_LUAN=y
+CONFIG_SYS_NS16550=y
index 0a6da688cb99c1d5ec99a99121f9237d0629394b..5cbca3b4e4d18523e53c24850cf23d357e90f40b 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_LWMON5=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 9e8508c1cf0947c0141bbc4f5a2caf27ad5d11c2..9da42eb8272289f39ff49cb54b4550dac8858a36 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index ed9b82d5030ccd434674350b7ccd0ee99bb24029..1b7163849f544f1cc6240e2391c6e8b5529afd9c 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_MAKALU=y
+CONFIG_SYS_NS16550=y
index 3056c48b972845894038452c7beecde05635a4bd..2ebd58bd781894b7db2f2039d80af3e87fc06fed 100644 (file)
@@ -5,4 +5,5 @@ CONFIG_TARGET_MALTA=y
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 858a852f230ee5ed03a9eab403691395c998be96..d24d217a478ec04abaa33f59b9e84bad1e27ee72 100644 (file)
@@ -6,4 +6,5 @@ CONFIG_SYS_LITTLE_ENDIAN=y
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index a353e852f687c03eaa69ccb88feac7cff98aba48..8495800e138f71de74b67d9f261410b9e2d22a70 100644 (file)
@@ -7,4 +7,5 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/boundary/nitrogen6x/nitrogen6q.cfg,MX
 CONFIG_CMD_GPIO=y
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_DM_THERMAL=y
index d49def1beaa3fe3037f6349bea8da40547242f8a..921c6c43670fd85826022edae8563f66acf63768 100644 (file)
@@ -8,3 +8,7 @@ CONFIG_SPL=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
 CONFIG_SPI_FLASH_BAR=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index 1d82c40f25af948bd4087b1799115fa065c97b61..1e204d55726dd51cad1efe84143d4caf09c0b78d 100644 (file)
@@ -9,3 +9,4 @@ CONFIG_SYS_PROMPT="mcx # "
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 631159aea66bd29d026f2e2b266374736d87a56f..6c9e41af83769657ef9217095db33547a0942c27 100644 (file)
@@ -12,6 +12,7 @@ CONFIG_SYS_PROMPT="Tegra20 (Medcom-Wide) # "
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index cd5f35828b06cb1309f8ae9f98bc2a27a6c7fbfa..965972a5e3c7fcc6fbde9eb0450a15289a39deac 100644 (file)
@@ -5,3 +5,5 @@ CONFIG_SYS_EXTRA_OPTIONS="KM_MGCOGE3UN"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index 02d43a31658708ba75f91a344aed0e0311d9ad00..37c07c172380a082109dc73f5065b0d26feb9c75 100644 (file)
@@ -20,13 +20,18 @@ CONFIG_CMD_BOOTSTAGE=y
 CONFIG_OF_CONTROL=y
 CONFIG_CPU=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_ETH=y
 CONFIG_DM_PCI=y
 CONFIG_DM_RTC=y
 CONFIG_DEBUG_UART=y
-CONFIG_DEBUG_UART_NS16550=y
 CONFIG_DEBUG_UART_BASE=0x3f8
 CONFIG_DEBUG_UART_CLOCK=1843200
+CONFIG_SYS_NS16550=y
+CONFIG_ICH_SPI=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_VIDEO_VESA=y
index 07865d896b2d531e0e9876200d15a65291f4f37e..249f5557df5ae98eeb59caed2d8b6a6acab1997d 100644 (file)
@@ -15,4 +15,5 @@ CONFIG_SYS_EXTRA_OPTIONS="SUNXI_GMAC,RGMII,MACPWR=SUNXI_GPA(21)"
 CONFIG_CMD_GPIO=y
 CONFIG_ETH_DESIGNWARE=y
 CONFIG_AXP_ALDO1_VOLT=3300
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 03e58cddda549985d713cb0c3296224adf53e745..ca3bcdf9bb4f37114cc38e23f1b066c367fff358 100644 (file)
@@ -12,4 +12,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_AXP152_POWER=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 922f8c3b503a796383681e588792762126194a75..0cb46bbf3c0334ef33bfad53d4f8b0b4f33c1c21 100644 (file)
@@ -11,4 +11,5 @@ CONFIG_SYS_EXTRA_OPTIONS="USB_EHCI"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SUNXI_NO_PMIC=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 2a359beed8de42f830120fb53255a921f7ea8d1b..f97f893aea90b035df0bf86ff45c99ff138139f7 100644 (file)
@@ -8,4 +8,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 8c67eab3aeadf6bb681ed8133be4e07eedba10e1..c31d9487181eedb6e417fae98527fdf207ea572b 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_MPC8308_P1M=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 38fb331df0865613b4e0a68f973adbf0377b7641..a0153e4022a2ca178601e4ac392900d7ece81efb 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_PROMPT="mt_ventoux => "
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 1cb26d7c45308f7648394813fd152f5e17ce8795..dcabd7b0c088af4a4ae018a78974824178bdbb05 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="MXS_AUART,MXS_AUART_BASE=MXS_UARTAPP3_BASE,ENV_IS_IN_M
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
index 3c8ea7660693338136b3c9d4c7ee192765a62218..d7f070626e2e176cd1c2386cd757d5dbb2d6d6ef 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="ENV_IS_IN_MMC"
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
index bd869173d678ed38c84fc257d8086792c70572de..f4ace44ac0f8410a56957b3b2798d5b295d3b403 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="ENV_IS_IN_NAND"
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
index d1d8ed74f62d8e1b27b64af656fe2b0d22a0dd3f..976ebc2c860b1476f5b7662ea034e584aefa1d4c 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="ENV_IS_IN_SPI_FLASH"
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
index 9dea2d286a27f8a1cf2da55b1f2fedee22303e8c..40d82caa886e20f1d82d9d8d238cf2a719bf6d66 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/freescale/mx6qsabreauto/mx6dl.cfg,MX6
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 68f6676f3f19b47c5245d8ec94607c6fc7033c2c..b2cf9245517ffa44ba01d67b90c15aef450977d2 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/freescale/mx6sabresd/mx6dlsabresd.cfg
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 3e9506ae1b93745b4092065e07ea276555c596d5..c44cd8f1720e9f51580229d2be0d52174c3073ce 100644 (file)
@@ -4,3 +4,4 @@ CONFIG_TARGET_MX6QSABREAUTO=y
 CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/freescale/mx6qsabreauto/mx6qp.cfg,MX6Q"
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 11d76701a186fc902aa36c468c1cceb54d69787d..67b9e1078d723edc93f3a701ff21cce289f91ee5 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/freescale/mx6qsabreauto/imximage.cfg,
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 119f63e887ac9151cf308d83096f9e426eebd50e..8736e314daab48894a5890e9d329e1c3869a0452 100644 (file)
@@ -7,4 +7,5 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/boundary/nitrogen6x/nitrogen6q.cfg,MX
 CONFIG_CMD_GPIO=y
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_DM_THERMAL=y
index 7d141d33b31b79b2a6dcfdf43dda8a2e6187b8ad..63187b367f9a5ec7582a6a794612db8fcfb8a6bf 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/freescale/mx6sabresd/mx6q_4x_mt41j128
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 7a480759b6059dd97a154d0c0555a7e6af66b450..d047309e852ed4eef48336fb854f4ea370a0bd35 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=arch/arm/imx-common/spl_sd.cfg,SPL,MX6Q"
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index d7305b0bc102a33d9f683d304ca2377021910e69..5eca5e9441dae0c7feb3fc85be2e35dc921930bb 100644 (file)
@@ -8,4 +8,5 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_DM_THERMAL=y
index 60aa057d46726bbbc41eed689a9d15030eec461d..caf033538a9a969c230a58a820ce73ae878fee1f 100644 (file)
@@ -8,4 +8,5 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_DM_THERMAL=y
index b5b289a25d2800302a7bfd7366304d6b35f7323c..26039277e6d59105e79efc6cfa3f037389188972 100644 (file)
@@ -6,4 +6,5 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=arch/arm/imx-common/spl_sd.cfg,SPL,MX6SL"
 CONFIG_CMD_GPIO=y
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_DM_THERMAL=y
index 6748a6eedab0b8ff6cba5f4d52477a436137b620..eae74a03aad85cdfbeffa3d3c578af0c74a33b5e 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_PROMPT="nas220> "
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 1ef57ad523854db50cc4642f4e8a657c5beadbce..a500b5f2d3ff171b8d3ebd249490546a218e00dc 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_4xx=y
 CONFIG_TARGET_NEO=y
 # CONFIG_CMD_ELF is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 09df520cefbfe4160bd8bb223abf00aa7b723900..87f4f923e057567d289a72c0bbc32b3b22cdb1a1 100644 (file)
@@ -6,3 +6,5 @@ CONFIG_SYS_EXTRA_OPTIONS="NET2BIG_V2"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SYS_NS16550=y
index 862a9ae6bbbbb80cc483e1685e66216a3b8107d3..2e2ee355c241eb756b77ac6b21a8787ce38e0af0 100644 (file)
@@ -6,3 +6,5 @@ CONFIG_SYS_EXTRA_OPTIONS="NETSPACE_LITE_V2"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SYS_NS16550=y
index 1829995989b4ae7e062eb627f9b1fe8c3c8ac511..d336ef34ece2b56981466a97c29c346ea311bf9a 100644 (file)
@@ -6,3 +6,5 @@ CONFIG_SYS_EXTRA_OPTIONS="NETSPACE_MAX_V2"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SYS_NS16550=y
index 35cb154e72d1c7af0b1fbc2a0a9fa3dab7b1fa25..667da1b9719973c6b9d028353b964e7670929e6a 100644 (file)
@@ -6,3 +6,5 @@ CONFIG_SYS_EXTRA_OPTIONS="NETSPACE_MINI_V2"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SYS_NS16550=y
index a13452befa6e07b39d32a75acad3df8d5cbb4fd0..949f680bf37db5ebc570a4f498e293c2e989303b 100644 (file)
@@ -6,3 +6,5 @@ CONFIG_SYS_EXTRA_OPTIONS="NETSPACE_V2"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SYS_NS16550=y
index c9aca468e8c9787f036fcf8a6dd20ed99ba576cb..281292df551f662ae82337f3d015a6b2472363a5 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/boundary/nitrogen6x/nitrogen6dl2g.cfg
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
index 5b1c06ca18ac59eba09895ff3827491871d066a9..5ae77e319b0ff6288cb88293f251cf66d12de03f 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/boundary/nitrogen6x/nitrogen6dl.cfg,M
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
index 99383289f6e1d3c28f8a3980c3ba054df13733f9..e5c7824ea3404364bf8ef74f541c483f9eb5a9e4 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/boundary/nitrogen6x/nitrogen6q2g.cfg,
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
index 430b34d3604014c47abe613c08ace7c45bdcace9..e2a12422df24e9649f0bd0a47c1f47e603fd963e 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/boundary/nitrogen6x/nitrogen6q.cfg,MX
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
index 0f49397f2abc2df63b4083734f104297515b57b4..3d38c080fd276bdb10e27085db0fe7145627c010 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/boundary/nitrogen6x/nitrogen6s1g.cfg,
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
index c21ba2abdda6cebee9f8891f91fccdfd34f02052..9b44758687b4908edab9e1fd53702b647c938bf8 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/boundary/nitrogen6x/nitrogen6s.cfg,MX
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
index 21959a606aeea06d816de9260a61bacd8a66e4dc..d7eb39a7ffc5e8cfc0ca631366630ad6babbd85c 100644 (file)
@@ -12,3 +12,4 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
diff --git a/configs/nsa310s_defconfig b/configs/nsa310s_defconfig
new file mode 100644 (file)
index 0000000..6b3f28a
--- /dev/null
@@ -0,0 +1,13 @@
+CONFIG_ARM=y
+CONFIG_KIRKWOOD=y
+CONFIG_TARGET_NSA310S=y
+CONFIG_SYS_NS16550=y
+CONFIG_SYS_PROMPT="nsa310s => "
+# CONFIG_CMD_IMLS is not set
+# CONFIG_CMD_FLASH is not set
+# CONFIG_CMD_SETEXPR is not set
+CONFIG_HUSH_PARSER=y
+CONFIG_CMD_DHCP=y
+CONFIG_CMD_NAND=y
+CONFIG_CMD_PING=y
+CONFIG_CMD_USB=y
index dac8d3ad60918a64e13c2ce896cf3b92d9b0e0d8..7fc1bde53ccb6474888f3ba6bec52d36ac23ea09 100644 (file)
@@ -19,6 +19,8 @@ CONFIG_CMD_CROS_EC=y
 CONFIG_CROS_EC=y
 CONFIG_CROS_EC_SPI=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
 CONFIG_TEGRA114_SPI=y
 CONFIG_TPM_TIS_INFINEON=y
 CONFIG_USB=y
index 7535a1778a69d85966fc870c1accb2a6f0e9ad6b..988f99d9d929c2f7e2bf85914b012061a54e8b86 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_EXTRA_OPTIONS="NAND"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
index 168b9a818f703fbfc68d4047ffca72b9783e2ca2..89fdf80f3e6f3c57872886d5b15495481d9d7296 100644 (file)
@@ -8,3 +8,4 @@ CONFIG_SYS_PROMPT="OMAP3_EVM # "
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 1b6aa7c57ee6542c3ffbf3267eadb2e43e996127..1af2b451a3ebef15445d9dee59178716de53ba0c 100644 (file)
@@ -24,3 +24,4 @@ CONFIG_SYS_PROMPT="OMAP3_EVM # "
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 # CONFIG_CMD_MISC is not set
+CONFIG_SYS_NS16550=y
index 48c2bb17e8755c018ddc90dfc04fe660ebad1382..b53a9c24d3cb55602ea265424a242a5b9d436643 100644 (file)
@@ -24,3 +24,4 @@ CONFIG_SYS_PROMPT="OMAP3_EVM # "
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 # CONFIG_CMD_MISC is not set
+CONFIG_SYS_NS16550=y
index f22b50edfc6e935cb483f64467d92ada98d2402d..04b3215f3c6273ddf9134f41b110638136d15392 100644 (file)
@@ -8,3 +8,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SYS_BOARD_OMAP3_HA"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index ab03285498914a0ee146a702dbf8c1ff3c6f8c16..0ff510edae5c153417853bf06dbb7dfe70ab338d 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_PROMPT="OMAP Logic # "
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
index 51304fd0d794430b65617f80f84806df070c9050..4c2a17d96b31eb005c93fcdf8c8755fc40507b57 100644 (file)
@@ -10,3 +10,4 @@ CONFIG_SYS_PROMPT="Overo # "
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 9b24544e7841f614ddfe7d0e9bc0c2abb7884278..badfde63a27a14ff9a74f7e7e84abc78402d8071 100644 (file)
@@ -10,3 +10,4 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index ccc11702038342a4c256366495d0539ef3a1b071..639000c57b4a1fe0b832c912ee174a1453b4bf8c 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_TARGET_OMAP3_ZOOM1=y
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index ce6e8d9b5b80950631e4cd56d392ef2643704ba1..332ed6611c42a6d97b7d8ee90d13581b549cfa2c 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SPL=y
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 2947508ec24007681399eb65b91e0c6dad3520de..023ee4145107186afe95331b370709d58c24a974 100644 (file)
@@ -8,3 +8,4 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index d136e2caf71cc46fc1e5e9e9bf1ff9da7db18f62..ba5d9cae07a36eefdad9f21220b36ef4460ab62c 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 8aa410db319ac8c43386170d7a6ccca4580a3721..7c2d6c89d45add513442432883a33e9cd81530f2 100644 (file)
@@ -7,3 +7,6 @@ CONFIG_SYS_PROMPT="U-Boot > "
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index 16861397c3ac2df183a0df43cbe88391be228519..ea7861d644744169975868ee0f8fa4bf90fdb0cb 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_EXTRA_OPTIONS="BOARD_IS_OPENRD_BASE"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index c34231560c6c88d8273457b24ea64e4f4d0ed5ff..886698b0cc75aeead030dc58170ae51554c7e050 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_EXTRA_OPTIONS="BOARD_IS_OPENRD_CLIENT"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 530ba4d10cf2e31909ba2b9e910a1ecb74b60eca..49893199513799a5db450a199634f3de34a1c9a0 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_EXTRA_OPTIONS="BOARD_IS_OPENRD_ULTIMATE"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 54cd8327ea754173d6b328e998262c82cf578b17..47ed8dfce1aeeae12bd7b3cccb3f4fd51a65c9c2 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_TARGET_OPENRISC_GENERIC=y
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
diff --git a/configs/orangepi_pc_defconfig b/configs/orangepi_pc_defconfig
new file mode 100644 (file)
index 0000000..61f35d3
--- /dev/null
@@ -0,0 +1,15 @@
+CONFIG_ARM=y
+CONFIG_ARCH_SUNXI=y
+CONFIG_MACH_SUN8I_H3=y
+CONFIG_DRAM_CLK=672
+CONFIG_DRAM_ZQ=3881979
+CONFIG_DRAM_ODT_EN=y
+# CONFIG_VIDEO is not set
+CONFIG_DEFAULT_DEVICE_TREE="sun8i-h3-orangepi-pc"
+# CONFIG_SYS_MALLOC_CLEAR_ON_INIT is not set
+CONFIG_SPL=y
+# CONFIG_CMD_IMLS is not set
+# CONFIG_CMD_FLASH is not set
+# CONFIG_CMD_FPGA is not set
+CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
diff --git a/configs/orangepi_plus_defconfig b/configs/orangepi_plus_defconfig
new file mode 100644 (file)
index 0000000..cce4817
--- /dev/null
@@ -0,0 +1,15 @@
+CONFIG_ARM=y
+CONFIG_ARCH_SUNXI=y
+CONFIG_MACH_SUN8I_H3=y
+CONFIG_DRAM_CLK=672
+CONFIG_DRAM_ZQ=3881979
+CONFIG_DRAM_ODT_EN=y
+# CONFIG_VIDEO is not set
+CONFIG_DEFAULT_DEVICE_TREE="sun8i-h3-orangepi-plus"
+# CONFIG_SYS_MALLOC_CLEAR_ON_INIT is not set
+CONFIG_SPL=y
+# CONFIG_CMD_IMLS is not set
+# CONFIG_CMD_FLASH is not set
+# CONFIG_CMD_FPGA is not set
+CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
index 6023fa7f8fbc72be81c8d102e8c5ce85e39af569..a1ecf0689627ae7b3016f5b585800dc15106e56e 100644 (file)
@@ -6,3 +6,7 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/bachmann/ot1200/mx6q_4x_mt41j128.cfg,
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
+CONFIG_SPI_FLASH_WINBOND=y
index 0c8b44ad1ffc14f17f9934498a5e3a32c3291478..5d44d7d6d2633d921a4d0a1681dbda67c11ceda3 100644 (file)
@@ -7,3 +7,7 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=arch/arm/imx-common/spl_sd.cfg,MX6Q"
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
+CONFIG_SPI_FLASH_WINBOND=y
index 07bc339298b142ea871292cdc14b1d8cac81a727..a7315ce0b2882fdac3301948a6f9d029841acae3 100644 (file)
@@ -12,6 +12,8 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
 CONFIG_TEGRA114_SPI=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
index b56181c0354c55b3809fa687a28f161c1857c499..28d6426206bdd15280d6a80bceb4f2cd17c239e8 100644 (file)
@@ -12,6 +12,8 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
 CONFIG_TEGRA114_SPI=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
index eb380779120498736a1768c8dd675c40da3fa100..e6de2da2542c4782f6b98ec2e7cf34c4c2f2cb34 100644 (file)
@@ -12,6 +12,8 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
 CONFIG_TEGRA114_SPI=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
index 3d6840e067edbae65bdad6d2f16638fb3ed282a2..9d7350ab83c8e18a6896f01cf9ceb0110640b47e 100644 (file)
@@ -12,6 +12,7 @@ CONFIG_SYS_PROMPT="Tegra20 (Paz00) MOD # "
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index b8739cd71c10c139b2115f7d0f60d89be00aecf4..ce7c4bbff9f18893073ea8ba620a96b5791b5615 100644 (file)
@@ -7,3 +7,5 @@ CONFIG_SYS_EXTRA_OPTIONS="REV1"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index 3a473133dc9948a1e188b2f8eb699885e8586f6f..7c2dee47886bd498d9b139d674623a06bac3dc2d 100644 (file)
@@ -7,3 +7,5 @@ CONFIG_SYS_EXTRA_OPTIONS="REV3"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index 08ccfc3b25e0d07545a6f5d970685bb7c8e376ad..65c6044ba592b8551c5ebabc0e06e7cd09e4a43a 100644 (file)
@@ -21,6 +21,8 @@ CONFIG_CMD_CROS_EC=y
 CONFIG_CROS_EC=y
 CONFIG_CROS_EC_SPI=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_PMIC=y
 CONFIG_PMIC_TPS65090=y
 CONFIG_DM_REGULATOR=y
@@ -30,6 +32,7 @@ CONFIG_I2S=y
 CONFIG_I2S_SAMSUNG=y
 CONFIG_SOUND_MAX98095=y
 CONFIG_SOUND_WM8994=y
+CONFIG_EXYNOS_SPI=y
 CONFIG_TPM_TIS_INFINEON=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
index 938ee68a4b1668149c8f49b267b121045cbb30d9..272988fb2db1a1fd6ae5fda456b49fedc31de2f7 100644 (file)
@@ -21,6 +21,8 @@ CONFIG_CMD_CROS_EC=y
 CONFIG_CROS_EC=y
 CONFIG_CROS_EC_SPI=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_PMIC=y
 CONFIG_PMIC_TPS65090=y
 CONFIG_DM_REGULATOR=y
@@ -30,6 +32,7 @@ CONFIG_I2S=y
 CONFIG_I2S_SAMSUNG=y
 CONFIG_SOUND_MAX98095=y
 CONFIG_SOUND_WM8994=y
+CONFIG_EXYNOS_SPI=y
 CONFIG_TPM_TIS_INFINEON=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
index 3350b6f59e6b42571cd581c8d8732533e9432091..00a3dac0ee0a1c7fb0c659a38e36f8db0c8eb1e8 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index a0380204bf22b0db2bb615bb22c9779e4364e875..62bb80a3a211f75ef447e8eb9b06661ffccc80ec 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_SYS_PROMPT="pepper# "
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index d19f14fa05c6ae3bfbbb2aff8f3e2f4f4374daf1..c2c4638bc91d4ac274c41f990a2bc30d9476d28b 100644 (file)
@@ -12,6 +12,7 @@ CONFIG_SYS_PROMPT="Tegra20 (Plutux) # "
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 234833b9ce5376dd5fe371e368b8e26bc539b24c..1a31256af7fd87e7cb52becd84ac6064b218cc95 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_PROMPT="PogoE02> "
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index b7c99ded118278b6e0ebd758855a63c57700a5e0..44f2387fcd6dd7c02341a017fd47922988a21fc6 100644 (file)
@@ -19,3 +19,4 @@ CONFIG_TARGET_PORTER=y
 CONFIG_SH_SDHI=y
 CONFIG_SPI_FLASH=y
 CONFIG_SPI_FLASH_BAR=y
+CONFIG_SPI_FLASH_SPANSION=y
index 3ff9ebb1733c8e132b7b4dd59a4d777feefd107a..0efc4c68c1ae4429e436a9c7a74b160d08d611e2 100644 (file)
@@ -5,3 +5,5 @@ CONFIG_SYS_EXTRA_OPTIONS="KM_PORTL2"
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index d9b3b45adf969f6a49dea1ee9f7b017f76719039..83a7b46164158122681cc929e20200b072128d55 100644 (file)
@@ -18,4 +18,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
index f3029dcfae574afd6024fe94ba0e504792c184ad..c813502f85f997401afc706bd0b4ab054fd81613 100644 (file)
@@ -6,5 +6,6 @@ CONFIG_SYS_PROMPT="pr1>"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_CC_OPTIMIZE_LIBS_FOR_SPEED=y
 CONFIG_LIB_RAND=y
index 75c6f645c10232f07944081b3612745171e3571e..02984ed05850b2062d962c3e339a13139dc5aa31 100644 (file)
@@ -10,3 +10,5 @@ CONFIG_AUTOBOOT_STOP_STR="\x1b\x1b"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index 205359efb5d207007dcf3aed322998fe24181eaf..36d806e387867969142a5b09add41b3c9bbc62f4 100644 (file)
@@ -20,4 +20,5 @@ CONFIG_SYS_EXTRA_OPTIONS="CONS_INDEX=2"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
index 927ab2af3694b096fd4dd4745bad65053c87ff49..42cce87517ecf7a3b9a5870ced1acf621c43f845 100644 (file)
@@ -22,4 +22,5 @@ CONFIG_SYS_EXTRA_OPTIONS="CONS_INDEX=5"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_AXP_DLDO1_VOLT=3300
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
index 755f4ee08c2ffe906860e83a392100ee430ab93b..bd59bb39e43d5d3a8ea4e3181f6fe4fc391a231e 100644 (file)
@@ -22,4 +22,5 @@ CONFIG_SYS_EXTRA_OPTIONS="CONS_INDEX=5"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_AXP_DLDO1_VOLT=3300
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
index a8dfa0d0239318b25063ca017b7ca07ae76cce82..729d4e828fa430e95e7253d21f4f65e6faf0a422 100644 (file)
@@ -22,4 +22,5 @@ CONFIG_SYS_EXTRA_OPTIONS="CONS_INDEX=5"
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_AXP_DLDO1_VOLT=3300
+CONFIG_SYS_NS16550=y
 CONFIG_USB_MUSB_HOST=y
index b05c7220b322ba7bed2718fe7f1aa4500a7aa19b..d28532c9619db5f76adae5da27a2533e9ea136d3 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_TARGET_QEMU_PPCE500=y
 # CONFIG_CMD_FLASH is not set
 CONFIG_NETDEVICES=y
 CONFIG_E1000=y
+CONFIG_SYS_NS16550=y
index 366ccc4c577b407e167eb9bbc1591b074831a499..ebdb892aba65d9aa58f9806b1391fd75890c396f 100644 (file)
@@ -15,10 +15,14 @@ CONFIG_CMD_BOOTSTAGE=y
 CONFIG_OF_CONTROL=y
 CONFIG_CPU=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_ETH=y
 CONFIG_E1000=y
 CONFIG_DM_PCI=y
 CONFIG_DM_RTC=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_VIDEO_VESA=y
index 4187430f4af8e0e83e5ee3b3a7afbcd48a487ab1..2bc92a5451f1aa46466d798a0052ed662c7db229 100644 (file)
@@ -4,4 +4,5 @@ CONFIG_CPU_MIPS64_R1=y
 # CONFIG_CMD_LOADB is not set
 # CONFIG_CMD_LOADS is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index c8bcbb7946032944b023b9686b6df3362d603634..d8a9c719b74583b3cf0297a256ab5f70878e0978 100644 (file)
@@ -5,4 +5,5 @@ CONFIG_CPU_MIPS64_R1=y
 # CONFIG_CMD_LOADB is not set
 # CONFIG_CMD_LOADS is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 337ecea9115a9ac58e0c60c99664f477d496e64f..e855906a6d3a1edb297aab25b830c9d604c7ab7c 100644 (file)
@@ -3,4 +3,5 @@ CONFIG_TARGET_QEMU_MIPS=y
 # CONFIG_CMD_LOADB is not set
 # CONFIG_CMD_LOADS is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index bfb3bcc94f665cc3673c97002c6f1f9729718b0c..d85107502a8a0795594ed91a9bf0f85a021a6de9 100644 (file)
@@ -4,4 +4,5 @@ CONFIG_SYS_LITTLE_ENDIAN=y
 # CONFIG_CMD_LOADB is not set
 # CONFIG_CMD_LOADS is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index d6387fc7a0fa5cb0ab1516de09dd5d775fed1ff0..2d41425880413ecdf15b69aff8d7c2c2c1f94cd7 100644 (file)
@@ -11,4 +11,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
 CONFIG_AXP152_POWER=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index 1713592468dc35af066c11194dfea7731a1bf931..cffc3557239eeabfee2fe2c7001e62654354b89c 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_SEQUOIA=y
 CONFIG_SYS_EXTRA_OPTIONS="RAINIER"
+CONFIG_SYS_NS16550=y
index ba22d9de6048673eb303cd02870a02a0fce05866..976683519de6667ad9ab8c64bfbc8b915f2e6da4 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_SEQUOIA=y
 CONFIG_SYS_EXTRA_OPTIONS="RAINIER,SYS_RAMBOOT,SYS_TEXT_BASE=0x01000000,SYS_LDSCRIPT=board/amcc/sequoia/u-boot-ram.lds"
+CONFIG_SYS_NS16550=y
index f0e622de3270db04507c18be6350d99a057fcaa1..a1403fb7bb220d93747c3666825c65377e1b51e3 100644 (file)
@@ -10,3 +10,5 @@ CONFIG_AUTOBOOT_STOP_STR="\x1b\x1b"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index ad87d0eb4ae076fb9877aa337d85d523a8e9bc0d..3b7cebb6dfd75852b07cc0ce3af194b225323eda 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_REDWOOD=y
+CONFIG_SYS_NS16550=y
index bdd9173bbd9d9bf2322790199052ad6b80da1a84..3cf82c30c5fa4628ca4fe459651ffdc831ff56b0 100644 (file)
@@ -7,4 +7,5 @@ CONFIG_SYS_EXTRA_OPTIONS="IMX_CONFIG=board/boundary/nitrogen6x/nitrogen6s1g.cfg,
 CONFIG_CMD_GPIO=y
 CONFIG_DM=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SST=y
 CONFIG_DM_THERMAL=y
index ba73dc369c45dadbc97505daade2ba56355c5b9d..4c1fd4b23455e59f8bc91180ea647371ddbf84f7 100644 (file)
@@ -10,3 +10,5 @@ CONFIG_AUTOBOOT_STOP_STR="\x1b\x1b"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index e953d0a95909028bf324a20ea03666746d7bde0f..644b1500947883d8f133d1c0941b14d33574c495 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SAMA5D3,SYS_USE_MMC"
 # CONFIG_CMD_LOADS is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index 832b9ebb89ee6949829fdaf693ca016c98fd97bb..1e428809a7a8b62ea9632c5f93ad9db665889753 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SAMA5D3,SYS_USE_NANDFLASH"
 # CONFIG_CMD_LOADS is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index bcfc65baf5ee587571aa1015d270015843f66df9..724b55bb0f949f3c04cb59eca9b7bed4228b408c 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SAMA5D3,SYS_USE_SERIALFLASH"
 # CONFIG_CMD_LOADS is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index 42d302cfd1fff9fa013650b26fd72a04ed2b565f..3daac36db8b376ea6a4b31970786d1b81258abfa 100644 (file)
@@ -9,3 +9,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SAMA5D4,SYS_USE_MMC"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index d27f572a8bfef305ea16f118bea6b23b6055df54..e3517e8b6d0cfb65fa11c2e86163dde725dfa1d4 100644 (file)
@@ -9,3 +9,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SAMA5D4,SYS_USE_NANDFLASH"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index e5d026a33f2cdf0dbd208c81019a0d0071420653..1cdb9bc21e23418651eb9d41ddbff6bed066ebc7 100644 (file)
@@ -9,3 +9,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SAMA5D4,SYS_USE_SERIALFLASH"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index 82fa9d46bb6a1a1bca3180f722f6402fcf8582ab..a75705a5300a52baf873eb2f3609d6574a6f0744 100644 (file)
@@ -9,3 +9,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SAMA5D4,SYS_USE_MMC"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index a333e06507e01799e3e512e02b7d54d6f28081a6..346a890441916a493523214232ea3408d64f493c 100644 (file)
@@ -9,3 +9,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SAMA5D4,SYS_USE_NANDFLASH"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index fc6dbb0b254f1425b89d7533396684b237a0e017..efba8614325cb13e4e42840233fad725e08266dd 100644 (file)
@@ -9,3 +9,4 @@ CONFIG_SYS_EXTRA_OPTIONS="SAMA5D4,SYS_USE_SERIALFLASH"
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
index ae5b9d1be1ba6520fd0e9e730899a0643b968a6e..c4e1be3234cf9e0d7d2df17bd59c768a95840440 100644 (file)
@@ -1,3 +1,4 @@
+CONFIG_SYS_MALLOC_F_LEN=0x2000
 CONFIG_PCI=y
 CONFIG_DEFAULT_DEVICE_TREE="sandbox"
 CONFIG_FIT=y
@@ -16,6 +17,8 @@ CONFIG_CMD_PMIC=y
 CONFIG_CMD_REGULATOR=y
 CONFIG_CMD_TPM=y
 CONFIG_CMD_TPM_TEST=y
+CONFIG_CONSOLE_RECORD=y
+CONFIG_CONSOLE_RECORD_OUT_SIZE=0x1000
 CONFIG_OF_CONTROL=y
 CONFIG_OF_HOSTFILE=y
 CONFIG_REGMAP=y
@@ -36,6 +39,14 @@ CONFIG_RESET=y
 CONFIG_DM_MMC=y
 CONFIG_SPI_FLASH_SANDBOX=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_ATMEL=y
+CONFIG_SPI_FLASH_EON=y
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_ETH=y
 CONFIG_DM_PCI=y
 CONFIG_PCI_SANDBOX=y
@@ -53,11 +64,15 @@ CONFIG_SANDBOX_SERIAL=y
 CONFIG_SOUND=y
 CONFIG_SOUND_SANDBOX=y
 CONFIG_SANDBOX_SPI=y
+CONFIG_TIMER=y
+CONFIG_SANDBOX_TIMER=y
 CONFIG_TPM_TIS_SANDBOX=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USB_EMUL=y
 CONFIG_USB_STORAGE=y
+CONFIG_USB_KEYBOARD=y
+CONFIG_SYS_USB_EVENT_POLL=y
 CONFIG_SYS_VSNPRINTF=y
 CONFIG_CMD_DHRYSTONE=y
 CONFIG_TPM=y
index c03a8072e6a6c62c07ac211ef02794a766091919..068b1b6a91aa2a8a02f5d5e548e78a5f353ca173 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC83xx=y
 CONFIG_TARGET_SBC8349=y
 CONFIG_SYS_EXTRA_OPTIONS="PCI,PCI_33M"
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 030c2d6216f68ac3210705d16a0faff176c67b55..993915592fea63d71670f0595b0596909f0091fc 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC83xx=y
 CONFIG_TARGET_SBC8349=y
 CONFIG_SYS_EXTRA_OPTIONS="PCI,PCI_66M"
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 01392aaed29972b12c53013759f5dfbd40543878..f1e356daa3a92addef40f20c4d6bec9c612a83ac 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_SBC8349=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index b6e87661075a2e1511c61ade9a791edb536ae169..bf1f0a5071cb3e252beafe690c88079c0178bb2d 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_SBC8548=y
 CONFIG_SYS_EXTRA_OPTIONS="PCI,33,PCIE"
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index f3c4ced84a3ce918a7c8647d0a91788ad8c712e8..789333ee56d9136323480e2ae1b7952508653c73 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_SBC8548=y
 CONFIG_SYS_EXTRA_OPTIONS="PCI,33"
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index bb0ad959075b8151eefc7e3e366d73545968ed24..e0f37520794893b52eba78357b057a079723f9c3 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_SBC8548=y
 CONFIG_SYS_EXTRA_OPTIONS="PCI,66,PCIE"
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index d601416403cb669e76250bf74ae307141bb1e2c9..132e66d3caaa4e2bfb6d065343df86d9255e5d44 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_SBC8548=y
 CONFIG_SYS_EXTRA_OPTIONS="PCI,66"
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 3e3b50786cb1ed6aa74be0044926e92ce5039012..b8d9d5a6fc9decb488c31cba3e6ffcefac16d05b 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_SBC8548=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index b67c7c0805c5378fb0a39274c9b191c7f4da1d8d..a37b18ecadf5cded19544f227bb0eba531959769 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC86xx=y
 CONFIG_TARGET_SBC8641D=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index bcce38ceae48a7e733102fc088121590d782129a..ad16a10d5b800ab27c44c4a011eda348800875ef 100644 (file)
@@ -12,6 +12,7 @@ CONFIG_SYS_PROMPT="Tegra20 (SeaBoard) # "
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index bbaec6158de7f735cbce1b04ba8ce05844a945b3..39190a3142c7006d9341a8409b0d8f80c432db1d 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_SEQUOIA=y
 CONFIG_SYS_EXTRA_OPTIONS="SEQUOIA"
+CONFIG_SYS_NS16550=y
index 5b2c6f4ce62a585fb7e01412baf168ffe5416767..b86b230ca863db0152780734701a30d23fb38e2c 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_SEQUOIA=y
 CONFIG_SYS_EXTRA_OPTIONS="SEQUOIA,SYS_RAMBOOT,SYS_TEXT_BASE=0x01000000,SYS_LDSCRIPT=board/amcc/sequoia/u-boot-ram.lds"
+CONFIG_SYS_NS16550=y
index b748e375b7fd647a9d2c27e286f4063c05155245..4c8883b9ec3d75ed509170d847ee0706ca643f8e 100644 (file)
@@ -18,4 +18,6 @@ CONFIG_TARGET_SH7752EVB=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_MISC is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 7bac0549021eb193004eead07df4139b13c2bd6b..9992cff497434f82d2d7987e5e9d117f11d9813d 100644 (file)
@@ -17,4 +17,6 @@ CONFIG_TARGET_SH7753EVB=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_MISC is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_MACRONIX=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 1a253dc4c610c2455d7b7db5d4f673306e3a55b9..54d6436a8bd808265aaa71d45f4fbd5178a0750f 100644 (file)
@@ -18,4 +18,5 @@ CONFIG_TARGET_SH7757LCR=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_MISC is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 5a37eea2d61a02b359049413939ecbe7b419e320..9b4146012170dc49e2eb7f705bc28f8c190e4cd5 100644 (file)
@@ -1,11 +1,12 @@
 CONFIG_ARM=y
 CONFIG_KIRKWOOD=y
 CONFIG_TARGET_SHEEVAPLUG=y
+CONFIG_HUSH_PARSER=y
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
+CONFIG_CMD_NAND=y
+CONFIG_CMD_USB=y
 # CONFIG_CMD_SETEXPR is not set
-CONFIG_HUSH_PARSER=y
 CONFIG_CMD_DHCP=y
-CONFIG_CMD_NAND=y
 CONFIG_CMD_PING=y
-CONFIG_CMD_USB=y
+CONFIG_SYS_NS16550=y
index 5afcde39acfbdb255d3e8cf8da662558f7657960..836beffc778b1b5b3c2b78859f54023c36a4dee2 100644 (file)
@@ -19,3 +19,4 @@ CONFIG_TARGET_SILK=y
 CONFIG_SH_SDHI=y
 CONFIG_SPI_FLASH=y
 CONFIG_SPI_FLASH_BAR=y
+CONFIG_SPI_FLASH_SPANSION=y
index 17b2a3533af504a5889cab91cbe00ec85d015d37..b0dec29c8736dd5c96ac3f8212da52b40fd94e9f 100644 (file)
@@ -13,6 +13,8 @@ CONFIG_CMD_PMIC=y
 CONFIG_CMD_REGULATOR=y
 CONFIG_DM_I2C_COMPAT=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_PMIC=y
 CONFIG_DM_PMIC_MAX77686=y
 CONFIG_DM_REGULATOR=y
@@ -22,6 +24,7 @@ CONFIG_I2S=y
 CONFIG_I2S_SAMSUNG=y
 CONFIG_SOUND_MAX98095=y
 CONFIG_SOUND_WM8994=y
+CONFIG_EXYNOS_SPI=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_VIDEO_BRIDGE=y
index 9d58ac1857ff0499fbcdb1557de2032019cbe359..50148fc8fc93c0c96cc4564f59fa026295829716 100644 (file)
@@ -10,8 +10,11 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_DM_I2C_COMPAT=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_PMIC=y
 CONFIG_DM_REGULATOR=y
+CONFIG_EXYNOS_SPI=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_VIDEO_BRIDGE=y
index 7afaa49074d0a33edc0cd5478541d7875bb2d0a6..0474deb474d8745e28d6a44e4266f9cef41fa1a0 100644 (file)
@@ -6,5 +6,6 @@ CONFIG_SYS_PROMPT="Sniper # "
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USB=y
 CONFIG_USB_MUSB_GADGET=y
index 41441a8e0ea05daae9ca7e9b774e95c58f30c374..3d8081b2ea2b3783c3923a1a5bc99e2c581aeeba 100644 (file)
@@ -22,6 +22,8 @@ CONFIG_CMD_CROS_EC=y
 CONFIG_CROS_EC=y
 CONFIG_CROS_EC_I2C=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_PMIC=y
 CONFIG_DM_PMIC_MAX77686=y
 CONFIG_PMIC_S5M8767=y
@@ -39,6 +41,7 @@ CONFIG_I2S=y
 CONFIG_I2S_SAMSUNG=y
 CONFIG_SOUND_MAX98095=y
 CONFIG_SOUND_WM8994=y
+CONFIG_EXYNOS_SPI=y
 CONFIG_TPM_TIS_INFINEON=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
index a1245e2590892a841479db7dd9c8c3fb2ea40687..b4c23d95b3b5354bfe4925e65d236f983d96c660 100644 (file)
@@ -13,5 +13,10 @@ CONFIG_SPL_STACK_R=y
 CONFIG_CMD_GPIO=y
 CONFIG_DWAPB_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_DM_ETH=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
+CONFIG_CADENCE_QSPI=y
+CONFIG_DESIGNWARE_SPI=y
index 74ce2326ff27f6c72b03ea3cc983f9264fe34990..ac7bd0bc3ab610fc73170d97b8a522127c1d01ea 100644 (file)
@@ -13,5 +13,10 @@ CONFIG_SPL_STACK_R=y
 CONFIG_CMD_GPIO=y
 CONFIG_DWAPB_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_DM_ETH=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
+CONFIG_CADENCE_QSPI=y
+CONFIG_DESIGNWARE_SPI=y
index 5837e98a81de10bbc9ff0d71119c87bb573f1d1c..d21029f8f980f6aa8ccbadee59e23150bac7e77e 100644 (file)
@@ -15,3 +15,6 @@ CONFIG_SPL_SIMPLE_BUS=y
 CONFIG_DWAPB_GPIO=y
 CONFIG_DM_ETH=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
+CONFIG_CADENCE_QSPI=y
+CONFIG_DESIGNWARE_SPI=y
index 455ea958e7e536466944d3f402bc43c27dca224a..97f6c5d140e92d2cf5c1bd0837b7d761d3adc194 100644 (file)
@@ -15,3 +15,6 @@ CONFIG_SPL_SIMPLE_BUS=y
 CONFIG_DWAPB_GPIO=y
 CONFIG_DM_ETH=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
+CONFIG_CADENCE_QSPI=y
+CONFIG_DESIGNWARE_SPI=y
index 4ab373f12c57cf2cb3a40c10a98d4cd539fc0ac9..d3b9c893e67eee274e4242a487b2405d4bd4bfd7 100644 (file)
@@ -14,6 +14,11 @@ CONFIG_CMD_GPIO=y
 CONFIG_SPL_SIMPLE_BUS=y
 CONFIG_DWAPB_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_STMICRO=y
 # CONFIG_SPI_FLASH_USE_4K_SECTORS is not set
 CONFIG_DM_ETH=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
+CONFIG_CADENCE_QSPI=y
+CONFIG_DESIGNWARE_SPI=y
index 07b844778236c10cd99e61e6a0cd9df2c93ead2e..462b2fdd39b521f59a8aed9500ad01a9a4351c55 100644 (file)
@@ -13,5 +13,10 @@ CONFIG_SPL_STACK_R=y
 CONFIG_CMD_GPIO=y
 CONFIG_DWAPB_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_STMICRO=y
 CONFIG_DM_ETH=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
+CONFIG_CADENCE_QSPI=y
+CONFIG_DESIGNWARE_SPI=y
index 37af82e08781a3e2e84e62b50de46460040bf171..19cc38846f21d3b416503c06e8dccfa358a91f39 100644 (file)
@@ -3,3 +3,4 @@ CONFIG_MPC85xx=y
 CONFIG_TARGET_SOCRATES=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 0f44ce8adc9c9354bf496f3d0c42e2ac6410bd87..11cb6e3e214e81b644c3cae8d7fa3b9fb4ac7075 100644 (file)
@@ -22,6 +22,8 @@ CONFIG_CMD_CROS_EC=y
 CONFIG_CROS_EC=y
 CONFIG_CROS_EC_I2C=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_GIGADEVICE=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_DM_PMIC=y
 CONFIG_DM_PMIC_MAX77686=y
 CONFIG_PMIC_S5M8767=y
@@ -39,6 +41,7 @@ CONFIG_I2S=y
 CONFIG_I2S_SAMSUNG=y
 CONFIG_SOUND_MAX98095=y
 CONFIG_SOUND_WM8994=y
+CONFIG_EXYNOS_SPI=y
 CONFIG_TPM_TIS_INFINEON=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
index fcfc5c161962fb2c0de5f308e6ba673c4a62d51d..d3534955b490afe54e1804a6943738531ee32e82 100644 (file)
@@ -19,3 +19,4 @@ CONFIG_TARGET_STOUT=y
 CONFIG_SH_SDHI=y
 CONFIG_SPI_FLASH=y
 CONFIG_SPI_FLASH_BAR=y
+CONFIG_SPI_FLASH_SPANSION=y
index 74ef69e85522e8b6431cb262c534da7db60a1b6d..59fdc7befb0e0b43cf8977ace35a1467872f463b 100644 (file)
@@ -1,7 +1,8 @@
-CONFIG_SYS_EXTRA_OPTIONS="STRIDER_CON"
 CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_STRIDER=y
+CONFIG_SYS_EXTRA_OPTIONS="STRIDER_CON"
 CONFIG_AUTOBOOT_KEYED=y
 CONFIG_AUTOBOOT_STOP_STR=" "
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index fc0a8233b35f401d14b7f43f51aa1838544cfe09..a0584b826ea826877ceba9c566f0386f12d37ae9 100644 (file)
@@ -1,7 +1,8 @@
-CONFIG_SYS_EXTRA_OPTIONS="STRIDER_CPU"
 CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_STRIDER=y
+CONFIG_SYS_EXTRA_OPTIONS="STRIDER_CPU"
 CONFIG_AUTOBOOT_KEYED=y
 CONFIG_AUTOBOOT_STOP_STR=" "
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 60de787a2a576d85ed6f16b1e8c00eb10cc7692d..cce88184543405272050ac819cbd2a763e52a093 100644 (file)
@@ -11,5 +11,8 @@ CONFIG_AUTOBOOT_STOP_STR=" "
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_OF_CONTROL=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_NETDEVICES=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_CADENCE_QSPI=y
index d3de194ea0e46fe14e5d302db73cf78a6a5c1e10..6315ad029ed7999024a03ef4e9c49ad7df4cb732 100644 (file)
@@ -15,4 +15,5 @@ CONFIG_SPL=y
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 CONFIG_CMD_GPIO=y
+CONFIG_SYS_NS16550=y
 CONFIG_USB_EHCI_HCD=y
index e477b0e3443c27e13b36d8ee6a7f3357e4f4cb63..b0b8390ff32738e7854a45c91a1fd64cd90020c9 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_SUVD3=y
 CONFIG_SYS_EXTRA_OPTIONS="SUVD3"
+CONFIG_SYS_NS16550=y
index 844e67fe3a6baf0e99af085241622c365c39df80..29b3d2b85afa41be862bafcd1880463b0990e7c0 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_WALNUT=y
+CONFIG_SYS_NS16550=y
index c61508af345e1bbcfe01f97ddc490a25c7ac11a3..75eeda6a2ece864d4bbbfbbc020245d0fb9ffdf0 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_T3CORP=y
+CONFIG_SYS_NS16550=y
index b2977f3a09eff27df837d31ff1e0b38c367e690b..af4292b73559a645b1990dfcf493c7faf2900d88 100644 (file)
@@ -8,3 +8,4 @@ CONFIG_SYS_PROMPT="TAO-3530 # "
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index ec1566f20b15d60e985d3e0b9133487af3cf4dfa..d59912c47ab04c93c0323ffb8c2c980eaae919e7 100644 (file)
@@ -12,3 +12,4 @@ CONFIG_SYS_PROMPT="U-Boot> "
 # CONFIG_CMD_SOURCE is not set
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index ca34bbbff1282e0984d3f5d1f10d8402408fb437..27ea43ff97202741dce286bd45de4f1ac898e157 100644 (file)
@@ -14,4 +14,5 @@ CONFIG_OF_EMBED=y
 CONFIG_DM=y
 CONFIG_NETDEVICES=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 7a8d68757c4c8d3b521d7bde8c90344472f4c5f4..3d10fd06afdb73929cba5cfa5112693999f09a6f 100644 (file)
@@ -13,6 +13,9 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
+CONFIG_TEGRA20_SLINK=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index a4d5ac67f4ed32070638c79709c77765eca8c1ce..ea3c3696f589f5380b41ca63a7af10d9781bfe97 100644 (file)
@@ -12,6 +12,7 @@ CONFIG_SYS_PROMPT="Tegra20 (TEC) # "
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 70420f0328c091f83fc9c06c7796c892041bc3b4..13aade69e5a9e41b9ead95b2de63e3825182cc5b 100644 (file)
@@ -10,3 +10,5 @@ CONFIG_AUTOBOOT_STOP_STR="\x1b\x1b"
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
index 781e270d1ddd2f79732de13f0083ed30af15b633..0c9a9d18bb0aa7a6e76582d7a1e371749b13ddaf 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_PROMPT="U-Boot# "
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 294d77599cff368daaf256d8a6d6a395c4c63bf7..78f11f52daefc431711b1182ac8c3ac1c65396fa 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_SYS_PROMPT="u-boot/ti816x# "
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 827b5bedc1549f7951076ac6bebd20cf3ece936a..cdc51c08c458c01035d8040b232be7bc3832e7b5 100644 (file)
@@ -5,3 +5,4 @@ CONFIG_TARGET_TQMA6=y
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 9bfb5396784d54c5b9578fef393147e906232611..1408be3bec4177e22fce6093133da48e7f22ba2e 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_TQMA6X_SPI_BOOT=y
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index 9b8ae93da4c4f6f6d3fd744ebdc4c235ff53135f..4e5428bf4cffcc391c35935ece873e0a2f017246 100644 (file)
@@ -6,3 +6,4 @@ CONFIG_TQMA6S=y
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index c1d06ec7ea4e3a96f0289cdea7b6313b61c1cb85..04740ecfb4d10dd2c23fa9721d9cefce2360f775 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_TQMA6X_SPI_BOOT=y
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
index bc3083749ffbeecbe0a23aba7c58a1c1bd652b1c..c32ef86a68bad6f8383008118f6bd7c09338f751 100644 (file)
@@ -10,3 +10,4 @@ CONFIG_SYS_PROMPT="OMAP3 Tricorder # "
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 4619fc94ba4c8d79a47853646e884599078301e4..1cf39286a09ad0bf7a6207c62a9fee450b8cae94 100644 (file)
@@ -10,3 +10,4 @@ CONFIG_SYS_EXTRA_OPTIONS="FLASHCARD"
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index be65652d959b941d03a76cdde14eccad9617477f..e3c45f9603115e662f600034c0db21ae8ced767d 100644 (file)
@@ -13,6 +13,9 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
+CONFIG_TEGRA20_SFLASH=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 9b096edfd322a44e9171d98aa6f41baa767f551e..7518774754cb04d2fc925ba7284f9ef4a0619a81 100644 (file)
@@ -16,3 +16,4 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_ITEST is not set
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index ffce1358316d3fdccf542314b879522675858684..65d514a9ff8e3d05ff6834ce5f2453c7149fef24 100644 (file)
@@ -16,3 +16,4 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_ITEST is not set
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
index 6703b173b02ec3ec8923c6d52f0cc36032762605..11e4e6b296509dfd6e6cd87f1709cb99bdc2d48e 100644 (file)
@@ -17,3 +17,5 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SYS_NS16550=y
index d4a422cbb6cc369a51a58c073ec5bff2588f2f02..1030dc7e5ccab158810830f00d83268f7e2a72a5 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_TUXX1=y
 CONFIG_SYS_EXTRA_OPTIONS="TUGE1"
+CONFIG_SYS_NS16550=y
index c8db21a4822bfb1f0f4dc261cf7e5a27968bd07d..7675fb3132a2e458f8421efe31ab8690d17f1cbf 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_TUXX1=y
 CONFIG_SYS_EXTRA_OPTIONS="TUXX1"
+CONFIG_SYS_NS16550=y
index ec85267a016ebbb13f513c96f9abf8751895011f..e721edb15a495697c26a176bb1291a4648ea2ba4 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SYS_PROMPT="twister => "
 # CONFIG_CMD_FLASH is not set
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 0c30ae90f21f5c702bbaf7504a1b69850c9b9c6e..4b0b5b46e0d10e362d7676a3f18dbf74afa6c6a2 100644 (file)
@@ -3,4 +3,5 @@ CONFIG_TARGET_VCT=y
 CONFIG_VCT_PLATINUM=y
 CONFIG_SYS_PROMPT="$ "
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index e26c2f6b52b3fa2ce844c8b0a7eeb3bb4f1a3366..62d88786b1a2717016d008b488a3120f421326fb 100644 (file)
@@ -6,4 +6,5 @@ CONFIG_SYS_PROMPT="$ "
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 47985cd67ee530cf0abd748641dcbec64a24a6bd..7b379be2cce234979a33a6c3af44efeae36b3cd8 100644 (file)
@@ -18,4 +18,5 @@ CONFIG_SYS_PROMPT="$ "
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
 # CONFIG_CMD_MISC is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index f85d3f788592d64d9ce785874d2b3121b9540bbb..78d215d54ce4724b2e170740009fc80dec014b20 100644 (file)
@@ -15,4 +15,5 @@ CONFIG_SYS_PROMPT="$ "
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
 # CONFIG_CMD_MISC is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 103434cea2e09b516170f64968b0fd1c01b03a69..92a302731219ca0c5c79d2669f8e847488a8c0d9 100644 (file)
@@ -5,4 +5,5 @@ CONFIG_SYS_PROMPT="VCT# "
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index e387b82d107007a71e05afb851297858245d5a84..87767bdef31b046014d8740a0908f9f0349acf62 100644 (file)
@@ -8,4 +8,5 @@ CONFIG_SYS_PROMPT="$ "
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 77dd4140244c3421499cfd1f396f415946585860..be70588cf001a9147af84aca1df36a81a0d6ad53 100644 (file)
@@ -18,4 +18,5 @@ CONFIG_SYS_PROMPT="$ "
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
 # CONFIG_CMD_MISC is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 8fe82fc1deeab7e192833751f00418bf07479f9f..b7e0a782fb5d1099b6a218b24f829762bdb37900 100644 (file)
@@ -15,4 +15,5 @@ CONFIG_SYS_PROMPT="$ "
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
 # CONFIG_CMD_MISC is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 2ae4975d7717f0847237d304661b612649122cca..7ca6e340dcd20daa4668d6b8c2e85019a1390824 100644 (file)
@@ -3,4 +3,5 @@ CONFIG_TARGET_VCT=y
 CONFIG_VCT_PREMIUM=y
 CONFIG_SYS_PROMPT="$ "
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 9768dbc3e3fcce159f6e3e45b806797e17604111..88555d99390abe3d47f6ca3679d9ed9d5c0f098a 100644 (file)
@@ -6,4 +6,5 @@ CONFIG_SYS_PROMPT="$ "
 # CONFIG_CMD_IMLS is not set
 # CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index ac6f42251f1ad7913305f89223474e51d3e9a065..a3a93b84cdbb46d0a4abf02937752f9fd25e961f 100644 (file)
@@ -18,4 +18,5 @@ CONFIG_SYS_PROMPT="$ "
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
 # CONFIG_CMD_MISC is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 329743443279d2fc26a9d009e48f855f4b20f510..fddc04d75dda76e3a08af0fd078266fc1e573655 100644 (file)
@@ -15,4 +15,5 @@ CONFIG_SYS_PROMPT="$ "
 # CONFIG_CMD_NET is not set
 # CONFIG_CMD_NFS is not set
 # CONFIG_CMD_MISC is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 627bb3c1a39555c2484661387f67a93c8facac56..5a8ba8e8fe90422e1706b9d40c2663551d53529b 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_VE8313=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index a93c1397b20287a29400c5a7b4906c704ff62d8d..05f6bc4363dc6d8ed28c353ea70e8c694c4b7d0a 100644 (file)
@@ -13,6 +13,8 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_SYS_NS16550=y
 CONFIG_TEGRA114_SPI=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
index 01dad0e21d6aa7b42aa9f56f9bb613d5135c0927..3423f24cbdadf90fb4c2437162c95982224e63eb 100644 (file)
@@ -12,6 +12,7 @@ CONFIG_SYS_PROMPT="Tegra20 (Ventana) # "
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 72d405f74c9c1ab4ee3e65ea497e6fff2eb11965..cad446682cbaabff02af71d25d53b742727b28f0 100644 (file)
@@ -10,7 +10,6 @@ CONFIG_SYS_PROMPT="VExpress64# "
 # CONFIG_CMD_EDITENV is not set
 # CONFIG_CMD_ENV_EXISTS is not set
 # CONFIG_CMD_LOADS is not set
-# CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_ITEST is not set
 # CONFIG_CMD_SETEXPR is not set
index a082d2778cf27bc7abece035a1ec2915348e73a1..e899b9068702450b9fae645128609709a13578cd 100644 (file)
@@ -10,7 +10,6 @@ CONFIG_SYS_PROMPT="VExpress64# "
 # CONFIG_CMD_EDITENV is not set
 # CONFIG_CMD_ENV_EXISTS is not set
 # CONFIG_CMD_LOADS is not set
-# CONFIG_CMD_FLASH is not set
 # CONFIG_CMD_FPGA is not set
 # CONFIG_CMD_ITEST is not set
 # CONFIG_CMD_SETEXPR is not set
index 117a15441b63ec1ea4dcba393a499900ba81b7ca..7a91da30fbc77799dade3707af962a62810d6712 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC83xx=y
 CONFIG_TARGET_VME8349=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 844e67fe3a6baf0e99af085241622c365c39df80..29b3d2b85afa41be862bafcd1880463b0990e7c0 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_WALNUT=y
+CONFIG_SYS_NS16550=y
index 995cf363622b855786ef58168c631ce17372d0ab..9fa9664bce5f212381ee78938dc13b8e945fd5de 100644 (file)
@@ -12,6 +12,7 @@ CONFIG_SYS_PROMPT="Tegra20 (Whistler) # "
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 # CONFIG_CMD_NFS is not set
+CONFIG_SYS_NS16550=y
 CONFIG_USB=y
 CONFIG_DM_USB=y
 CONFIG_USE_PRIVATE_LIBGCC=y
index 1c22eafea65e34d942ef42877b1d71a2ef1c1d3f..1cad3a2d0c4af87e5a4836ecfdd2bcdd590f4079 100644 (file)
@@ -7,3 +7,4 @@ CONFIG_SPL=y
 CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_DM=y
+CONFIG_SYS_NS16550=y
index a1b2e337d374240d5d428c154d30c8acf3b3f831..71dc7dce1d6874c29cabdd992be8ccab996f6d2c 100644 (file)
@@ -9,3 +9,4 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NETDEVICES=y
 CONFIG_ETH_DESIGNWARE=y
+CONFIG_USE_TINY_PRINTF=y
index 73d5ff239d27b9e8cf903c181c4cb74bb2107c3a..3bccacbd4ddd3c9f8f571faa26e73b6e1860d89e 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_XPEDITE1000=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 45d0ae16b295c42c7d57275c9f29f63c2087e8a6..07c46424fa8978df943e28b084e624ccf4dac614 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC86xx=y
 CONFIG_TARGET_XPEDITE517X=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 797c16671f962049a044c30fae6b0b9d8089ed34..6a25b47417fa89a14fae1d1154406c56bf5de94e 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_XPEDITE520X=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 2db7f6589f0f031b8fd52b1c5d96586315e69aa0..611f1b1ba27fac783f2b7b7e12aac67fa8138eb6 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_XPEDITE537X=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 274095757a4c8ef3af1e4b09b8d333e765ea5ecd..8f6b4335d0179638810087606621bc576f4e76ac 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_MPC85xx=y
 CONFIG_TARGET_XPEDITE550X=y
 # CONFIG_CMD_SETEXPR is not set
+CONFIG_SYS_NS16550=y
index 7b1a630cf3823ae8c2e02f670db59ece0949d94d..7f4144c0228f4f5f68ee7f7074a3c0418c8773f8 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_YOSEMITE=y
 CONFIG_SYS_EXTRA_OPTIONS="YELLOWSTONE"
+CONFIG_SYS_NS16550=y
index 00ec4255d15c5e1524703f36ea5e7ae0d6227926..cd852c2c12b8ae805e0e6b6813e5e6a9847b77b4 100644 (file)
@@ -2,3 +2,4 @@ CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_YOSEMITE=y
 CONFIG_SYS_EXTRA_OPTIONS="YOSEMITE"
+CONFIG_SYS_NS16550=y
index 6c8e20a36e9bc703603885398f41cb7ec0da8b79..c3e7a7acef861f9b13699f91af9f74ecdf9a3abe 100644 (file)
@@ -1,3 +1,4 @@
 CONFIG_PPC=y
 CONFIG_4xx=y
 CONFIG_TARGET_YUCCA=y
+CONFIG_SYS_NS16550=y
index 6cbf8aca271c24cd5ff90186ffdc18b8766bedf8..3bf17cfc7ab79db5a26d864a44381af183beb417 100644 (file)
@@ -12,4 +12,7 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_ZYNQ_QSPI=y
index 2b51debe2e2cec1a9bb42dbe4a7a40d1bb9cba9f..e3c1e23638ff508da88171e006b59bec07801c7d 100644 (file)
@@ -11,4 +11,7 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_ZYNQ_QSPI=y
index 0bc53a83ae33ca3a44fc81a1232d5f8082a3e877..eaf15f2d700cc5329fb9af78846f7b32456d87c0 100644 (file)
@@ -12,4 +12,7 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_ZYNQ_QSPI=y
index 17833e76e790509d2421a9c5003a43696324dd18..381ace8ace6fccaf02aa91d9200335011748a49e 100644 (file)
@@ -13,4 +13,9 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_SST=y
+CONFIG_SPI_FLASH_WINBOND=y
+CONFIG_ZYNQ_SPI=y
 CONFIG_ZYNQ_QSPI=y
index 15efb191c65e462d663772c62116465311d00c1e..f603bb360106e35dd98ce2bf6161522f83df534e 100644 (file)
@@ -12,4 +12,7 @@ CONFIG_CMD_GPIO=y
 # CONFIG_CMD_SETEXPR is not set
 CONFIG_NET_RANDOM_ETHADDR=y
 CONFIG_SPI_FLASH=y
+CONFIG_SPI_FLASH_SPANSION=y
+CONFIG_SPI_FLASH_STMICRO=y
+CONFIG_SPI_FLASH_WINBOND=y
 CONFIG_ZYNQ_QSPI=y
index ea9c615ee581c32ad3816a55bdd40e64439450b1..b1e01558a69f67a8a16b5bdf9a3fbe7454ba09a9 100644 (file)
@@ -493,6 +493,9 @@ int gpt_fill_pte(gpt_header *gpt_h, gpt_entry *gpt_e,
                memset(&gpt_e[i].attributes, 0,
                       sizeof(gpt_entry_attributes));
 
+               if (partitions[i].bootable)
+                       gpt_e[i].attributes.fields.legacy_bios_bootable = 1;
+
                /* partition name */
                efiname_len = sizeof(gpt_e[i].partition_name)
                        / sizeof(efi_char16_t);
@@ -578,6 +581,116 @@ err:
        return ret;
 }
 
+static void gpt_convert_efi_name_to_char(char *s, efi_char16_t *es, int n)
+{
+       char *ess = (char *)es;
+       int i, j;
+
+       memset(s, '\0', n);
+
+       for (i = 0, j = 0; j < n; i += 2, j++) {
+               s[j] = ess[i];
+               if (!ess[i])
+                       return;
+       }
+}
+
+int gpt_verify_headers(block_dev_desc_t *dev_desc, gpt_header *gpt_head,
+                      gpt_entry **gpt_pte)
+{
+       /*
+        * This function validates AND
+        * fills in the GPT header and PTE
+        */
+       if (is_gpt_valid(dev_desc,
+                        GPT_PRIMARY_PARTITION_TABLE_LBA,
+                        gpt_head, gpt_pte) != 1) {
+               printf("%s: *** ERROR: Invalid GPT ***\n",
+                      __func__);
+               return -1;
+       }
+       if (is_gpt_valid(dev_desc, (dev_desc->lba - 1),
+                        gpt_head, gpt_pte) != 1) {
+               printf("%s: *** ERROR: Invalid Backup GPT ***\n",
+                      __func__);
+               return -1;
+       }
+
+       return 0;
+}
+
+int gpt_verify_partitions(block_dev_desc_t *dev_desc,
+                         disk_partition_t *partitions, int parts,
+                         gpt_header *gpt_head, gpt_entry **gpt_pte)
+{
+       char efi_str[PARTNAME_SZ + 1];
+       u64 gpt_part_size;
+       gpt_entry *gpt_e;
+       int ret, i;
+
+       ret = gpt_verify_headers(dev_desc, gpt_head, gpt_pte);
+       if (ret)
+               return ret;
+
+       gpt_e = *gpt_pte;
+
+       for (i = 0; i < parts; i++) {
+               if (i == gpt_head->num_partition_entries) {
+                       error("More partitions than allowed!\n");
+                       return -1;
+               }
+
+               /* Check if GPT and ENV partition names match */
+               gpt_convert_efi_name_to_char(efi_str, gpt_e[i].partition_name,
+                                            PARTNAME_SZ + 1);
+
+               debug("%s: part: %2d name - GPT: %16s, ENV: %16s ",
+                     __func__, i, efi_str, partitions[i].name);
+
+               if (strncmp(efi_str, (char *)partitions[i].name,
+                           sizeof(partitions->name))) {
+                       error("Partition name: %s does not match %s!\n",
+                             efi_str, (char *)partitions[i].name);
+                       return -1;
+               }
+
+               /* Check if GPT and ENV sizes match */
+               gpt_part_size = le64_to_cpu(gpt_e[i].ending_lba) -
+                       le64_to_cpu(gpt_e[i].starting_lba) + 1;
+               debug("size(LBA) - GPT: %8llu, ENV: %8llu ",
+                     gpt_part_size, (u64) partitions[i].size);
+
+               if (le64_to_cpu(gpt_part_size) != partitions[i].size) {
+                       error("Partition %s size: %llu does not match %llu!\n",
+                             efi_str, gpt_part_size, (u64) partitions[i].size);
+                       return -1;
+               }
+
+               /*
+                * Start address is optional - check only if provided
+                * in '$partition' variable
+                */
+               if (!partitions[i].start) {
+                       debug("\n");
+                       continue;
+               }
+
+               /* Check if GPT and ENV start LBAs match */
+               debug("start LBA - GPT: %8llu, ENV: %8llu\n",
+                     le64_to_cpu(gpt_e[i].starting_lba),
+                     (u64) partitions[i].start);
+
+               if (le64_to_cpu(gpt_e[i].starting_lba) != partitions[i].start) {
+                       error("Partition %s start: %llu does not match %llu!\n",
+                             efi_str, le64_to_cpu(gpt_e[i].starting_lba),
+                             (u64) partitions[i].start);
+                       return -1;
+               }
+       }
+
+       return 0;
+}
+
 int is_valid_gpt_buf(block_dev_desc_t *dev_desc, void *buf)
 {
        gpt_header *gpt_h;
index 35902ce0c882fd8be6d6279046085528307da39d..a6f6de6a0f5f78549689f148844b708aac5b4b3e 100644 (file)
@@ -142,6 +142,10 @@ of the Primary.
 
           Attribute flags:
           Bit 0  - System partition
+          Bit 1  - Hide from EFI
+          Bit 2  - Legacy BIOS bootable
+          Bit 48-63 - Defined and used by the individual partition type
+          For Basic data partition :
           Bit 60 - Read-only
           Bit 62 - Hidden
           Bit 63 - Not mount
@@ -161,16 +165,51 @@ To restore GUID partition table one needs to:
    The fields 'name' and 'size' are mandatory for every partition.
    The field 'start' is optional.
 
+   If field 'size' of the last partition is 0, the partiton is extended
+   up to the end of the device.
+
    The fields 'uuid' and 'uuid_disk' are optional if CONFIG_RANDOM_UUID is
    enabled. A random uuid will be used if omitted or they point to an empty/
    non-existent environment variable. The environment variable will be set to
    the generated UUID.
 
+   The field 'bootable' is optional, it is used to mark the GPT partition
+   bootable (set attribute flags "Legacy BIOS bootable").
+     "name=u-boot,size=60MiB;name=boot,size=60Mib,bootable;name=rootfs,size=0"
+   It can be used to locate bootable disks with command
+   "part list <interface> <dev> -bootable <varname>",
+   please check out doc/README.distro for use.
+
 2. Define 'CONFIG_EFI_PARTITION' and 'CONFIG_CMD_GPT'
 
-2. From u-boot prompt type:
+3. From u-boot prompt type:
    gpt write mmc 0 $partitions
 
+Checking (validating) GPT partitions in U-Boot:
+===============================================
+
+Procedure is the same as above. The only change is at point 3.
+
+At u-boot prompt one needs to write:
+   gpt verify mmc 0 [$partitions]
+
+where [$partitions] is an optional parameter.
+
+When it is not provided, only basic checks based on CRC32 calculation for GPT
+header and PTEs are performed.
+When provided, additionally partition data - name, size and starting
+offset (last two in LBA) - are compared with data defined in '$partitions'
+environment variable.
+
+After running this command, return code is set to 0 if no errors found in
+on non-volatile medium stored GPT.
+
+Following line can be used to assess if GPT verification has succeed:
+
+U-BOOT> gpt verify mmc 0 $partitions
+U-BOOT> if test $? = 0; then echo "GPT OK"; else echo "GPT ERR"; fi
+
+
 Partition type GUID:
 ====================
 
diff --git a/doc/device-tree-bindings/input/i8042.txt b/doc/device-tree-bindings/input/i8042.txt
new file mode 100644 (file)
index 0000000..cd079c2
--- /dev/null
@@ -0,0 +1,10 @@
+i8042 Keyboard
+
+The Intel i8042 is a keyboard controller used on many x86 PCs.
+
+Required properties:
+- compatible: "intel,i8042-keyboard"
+
+Optional properties:
+- intel,duplicate-por: Indicates that a keyboard reset may result in a
+  duplicate POR byte, which should be ignored.
diff --git a/doc/device-tree-bindings/timer/sandbox_timer.txt b/doc/device-tree-bindings/timer/sandbox_timer.txt
new file mode 100644 (file)
index 0000000..3e113f8
--- /dev/null
@@ -0,0 +1,7 @@
+Sandbox timer
+
+The sandbox timer device is an emulated device which gets time from
+host os.
+
+Required properties:
+  compatible: "sandbox,timer"
diff --git a/doc/driver-model/serial-howto.txt b/doc/driver-model/serial-howto.txt
new file mode 100644 (file)
index 0000000..60483a4
--- /dev/null
@@ -0,0 +1,58 @@
+How to port a serial driver to driver model
+===========================================
+
+About 16 of 33 serial drivers have been converted as at September 2015. It
+is time for maintainers to start converting over the remaining serial drivers:
+
+   altera_jtag_uart.c
+   altera_uart.c
+   arm_dcc.c
+   lpc32xx_hsuart.c
+   mcfuart.c
+   mxs_auart.c
+   opencores_yanu.c
+   serial_bfin.c
+   serial_imx.c
+   serial_lpuart.c
+   serial_max3100.c
+   serial_pxa.c
+   serial_s3c24x0.c
+   serial_sa1100.c
+   serial_stm32.c
+   serial_xuartlite.c
+   usbtty.c
+
+You should complete this by the end of January 2016.
+
+Here is a suggested approach for converting your serial driver over to driver
+model. Please feel free to update this file with your ideas and suggestions.
+
+- #ifdef out all your own serial driver code (#ifndef CONFIG_DM_SERIAL)
+- Define CONFIG_DM_SERIAL for your board, vendor or architecture
+- If the board does not already use driver model, you need CONFIG_DM also
+- Your board should then build, but will not boot since there will be no serial
+    driver
+- Add the U_BOOT_DRIVER piece at the end (e.g. copy serial_s5p.c for example)
+- Add a private struct for the driver data - avoid using static variables
+- Implement each of the driver methods, perhaps by calling your old methods
+- You may need to adjust the function parameters so that the old and new
+    implementations can share most of the existing code
+- If you convert all existing users of the driver, remove the pre-driver-model
+    code
+
+In terms of patches a conversion series typically has these patches:
+- clean up / prepare the driver for conversion
+- add driver model code
+- convert at least one existing board to use driver model serial
+- (if no boards remain that don't use driver model) remove the old code
+
+This may be a good time to move your board to use device tree also. Mostly
+this involves these steps:
+
+- define CONFIG_OF_CONTROL and CONFIG_OF_SEPARATE
+- add your device tree files to arch/<arch>/dts
+- update the Makefile there
+- Add stdout-path to your /chosen device tree node if it is not already there
+- build and get u-boot-dtb.bin so you can test it
+- Your drivers can now use device tree
+- For device tree in SPL, define CONFIG_SPL_OF_CONTROL
index 735708aa20a74f3e70258eda7b6866453f6d1e63..208a0ae889089e11bc658a9b7508e6c10f9e9fc7 100644 (file)
@@ -7,6 +7,7 @@
 
 #include <common.h>
 #include <command.h>
+#include <console.h>
 #include <asm/io.h>
 #include <asm/processor.h>
 #include <asm/fsl_serdes.h>
index bd6d4062c93723e2d4a5ff434c6d077af637ce81..e1714b2202b64145291eabd024206e261d57e0f3 100644 (file)
 #include <dm/uclass-internal.h>
 #include <dm/util.h>
 
-int device_unbind_children(struct udevice *dev)
+/**
+ * device_chld_unbind() - Unbind all device's children from the device
+ *
+ * On error, the function continues to unbind all children, and reports the
+ * first error.
+ *
+ * @dev:       The device that is to be stripped of its children
+ * @return 0 on success, -ve on error
+ */
+static int device_chld_unbind(struct udevice *dev)
 {
        struct udevice *pos, *n;
        int ret, saved_ret = 0;
@@ -34,7 +43,12 @@ int device_unbind_children(struct udevice *dev)
        return saved_ret;
 }
 
-int device_remove_children(struct udevice *dev)
+/**
+ * device_chld_remove() - Stop all device's children
+ * @dev:       The device whose children are to be removed
+ * @return 0 on success, -ve on error
+ */
+static int device_chld_remove(struct udevice *dev)
 {
        struct udevice *pos, *n;
        int ret;
@@ -73,7 +87,7 @@ int device_unbind(struct udevice *dev)
                        return ret;
        }
 
-       ret = device_unbind_children(dev);
+       ret = device_chld_unbind(dev);
        if (ret)
                return ret;
 
@@ -153,7 +167,7 @@ int device_remove(struct udevice *dev)
        if (ret)
                return ret;
 
-       ret = device_remove_children(dev);
+       ret = device_chld_remove(dev);
        if (ret)
                goto err;
 
index bdb394a9ae21f3d1f2d80b355abe03cdaace8dae..e7b1f249682e3c6b282751f4965e2e3944fd999e 100644 (file)
@@ -59,6 +59,8 @@ void fix_drivers(void)
                        entry->unbind += gd->reloc_off;
                if (entry->ofdata_to_platdata)
                        entry->ofdata_to_platdata += gd->reloc_off;
+               if (entry->child_post_bind)
+                       entry->child_post_bind += gd->reloc_off;
                if (entry->child_pre_probe)
                        entry->child_pre_probe += gd->reloc_off;
                if (entry->child_post_remove)
@@ -81,10 +83,16 @@ void fix_uclass(void)
                        entry->post_bind += gd->reloc_off;
                if (entry->pre_unbind)
                        entry->pre_unbind += gd->reloc_off;
+               if (entry->pre_probe)
+                       entry->pre_probe += gd->reloc_off;
                if (entry->post_probe)
                        entry->post_probe += gd->reloc_off;
                if (entry->pre_remove)
                        entry->pre_remove += gd->reloc_off;
+               if (entry->child_post_bind)
+                       entry->child_post_bind += gd->reloc_off;
+               if (entry->child_pre_probe)
+                       entry->child_pre_probe += gd->reloc_off;
                if (entry->init)
                        entry->init += gd->reloc_off;
                if (entry->destroy)
index 06b88372e5137151493cf08eb30911bedfa9331c..1627f0e6ffdeb7169e6ce20920f2e10105f2315b 100644 (file)
@@ -9,6 +9,7 @@
  */
 
 #include <common.h>            /* core U-Boot definitions */
+#include <console.h>
 #include <ACEX1K.h>            /* ACEX device family */
 
 /* Define FPGA_DEBUG to get debug printf's */
index 0d2d9a4693264684bbeb5167790057c0ae07b732..f7cf02ab5b309cf7666a227cbeb71e89b8af9603 100644 (file)
@@ -12,6 +12,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <virtex2.h>
 
 #if 0
index 6a74f8961063c278a4088616e0a512ff75ddbeaa..ef889ea4e66939359fef2d84be3f7254c9b39b84 100644 (file)
@@ -8,6 +8,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <asm/io.h>
 #include <fs.h>
 #include <zynqpl.h>
index 32198bd5b20088b7c5f9f7048031b5d9f0c740f6..811ad9b72a0eb786ad656f9c47e9ef44c50edfb6 100644 (file)
@@ -40,4 +40,4 @@ obj-$(CONFIG_SYS_I2C_UNIPHIER) += i2c-uniphier.o
 obj-$(CONFIG_SYS_I2C_UNIPHIER_F) += i2c-uniphier-f.o
 obj-$(CONFIG_SYS_I2C_ZYNQ) += zynq_i2c.o
 
-obj-y += muxes/
+obj-$(CONFIG_I2C_MUX) += muxes/
index c58f14a36e5217624f398c2cbb0ec540cb1c474c..f0c084132a8d31be56b8a446c64a42afd25dbb38 100644 (file)
@@ -7,6 +7,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <i2c.h>
 
 #include <asm/clock.h>
index bb00de7c576ed4ca7b15968c16047c26e299b30a..d560328be025acf827234dd34b59942227a20a2f 100644 (file)
@@ -1,6 +1,25 @@
+config DM_KEYBOARD
+       bool "Enable driver model keyboard support"
+       depends on DM
+       help
+         This adds a uclass for keyboards and implements keyboard support
+         using driver model. The API is implemented by keyboard.h and
+         includes methods to start/stop the device, check for available
+         input and update LEDs if the keyboard has them.
+
 config CROS_EC_KEYB
        bool "Enable Chrome OS EC keyboard support"
        help
          Most ARM Chromebooks use an EC to provide access to the keyboard.
          Messages are used to request key scans from the EC and these are
          then decoded into keys by this driver.
+
+config I8042_KEYB
+       bool "Enable Intel i8042 keyboard support"
+       depends on DM_KEYBOARD
+       help
+         This adds a driver for the i8042 keyboard controller, allowing the
+         keyboard to be used on devices which support this controller. The
+         driver handles English and German keyboards - set the environment
+         variable 'keymap' to "de" to select German. Keyboard repeat is
+         handled by the keyboard itself.
index b1161c5e1b4e9f9f686f53e9db7f7d7d35f18922..5f15265be5b4c86844ed173d24618f4908501779 100644 (file)
@@ -5,7 +5,9 @@
 # SPDX-License-Identifier:     GPL-2.0+
 #
 
-obj-$(CONFIG_I8042_KBD) += i8042.o
+obj-$(CONFIG_DM_KEYBOARD) += keyboard-uclass.o
+
+obj-$(CONFIG_I8042_KEYB) += i8042.o
 obj-$(CONFIG_TEGRA_KEYBOARD) += tegra-kbc.o
 obj-$(CONFIG_TWL4030_INPUT) += twl4030.o
 obj-$(CONFIG_CROS_EC_KEYB) += cros_ec_keyb.o
index dd150eeb459fd67b04273c6ce4206e7ece2e0e68..9bc4555c2eb6f8fc6343e3837526d5023a9af010 100644 (file)
@@ -8,9 +8,11 @@
 
 #include <common.h>
 #include <cros_ec.h>
+#include <dm.h>
 #include <errno.h>
 #include <fdtdec.h>
 #include <input.h>
+#include <keyboard.h>
 #include <key_matrix.h>
 #include <stdio_dev.h>
 
@@ -22,31 +24,29 @@ enum {
        KBC_REPEAT_DELAY_MS     = 240,
 };
 
-static struct keyb {
-       struct cros_ec_dev *dev;                /* The CROS_EC device */
-       struct input_config input;      /* The input layer */
+struct cros_ec_keyb_priv {
+       struct input_config *input;     /* The input layer */
        struct key_matrix matrix;       /* The key matrix layer */
        int key_rows;                   /* Number of keyboard rows */
        int key_cols;                   /* Number of keyboard columns */
        int ghost_filter;               /* 1 to enable ghost filter, else 0 */
-       int inited;                     /* 1 if keyboard is ready */
-} config;
+};
 
 
 /**
  * Check the keyboard controller and return a list of key matrix positions
  * for which a key is pressed
  *
- * @param config       Keyboard config
+ * @param dev          Keyboard device
  * @param keys         List of keys that we have detected
  * @param max_count    Maximum number of keys to return
  * @param samep                Set to true if this scan repeats the last, else false
  * @return number of pressed keys, 0 for none, -EIO on error
  */
-static int check_for_keys(struct keyb *config,
-                          struct key_matrix_key *keys, int max_count,
-                          bool *samep)
+static int check_for_keys(struct udevice *dev, struct key_matrix_key *keys,
+                         int max_count, bool *samep)
 {
+       struct cros_ec_keyb_priv *priv = dev_get_priv(dev);
        struct key_matrix_key *key;
        static struct mbkp_keyscan last_scan;
        static bool last_scan_valid;
@@ -54,7 +54,7 @@ static int check_for_keys(struct keyb *config,
        unsigned int row, col, bit, data;
        int num_keys;
 
-       if (cros_ec_scan_keyboard(config->dev, &scan)) {
+       if (cros_ec_scan_keyboard(dev->parent, &scan)) {
                debug("%s: keyboard scan failed\n", __func__);
                return -EIO;
        }
@@ -69,9 +69,9 @@ static int check_for_keys(struct keyb *config,
        last_scan_valid = true;
        memcpy(&last_scan, &scan, sizeof(last_scan));
 
-       for (col = num_keys = bit = 0; col < config->matrix.num_cols;
+       for (col = num_keys = bit = 0; col < priv->matrix.num_cols;
                        col++) {
-               for (row = 0; row < config->matrix.num_rows; row++) {
+               for (row = 0; row < priv->matrix.num_rows; row++) {
                        unsigned int mask = 1 << (bit & 7);
 
                        data = scan.data[bit / 8];
@@ -88,28 +88,6 @@ static int check_for_keys(struct keyb *config,
        return num_keys;
 }
 
-/**
- * Test if keys are available to be read
- *
- * @return 0 if no keys available, 1 if keys are available
- */
-static int kbd_tstc(struct stdio_dev *dev)
-{
-       /* Just get input to do this for us */
-       return config.inited ? input_tstc(&config.input) : 0;
-}
-
-/**
- * Read a key
- *
- * @return ASCII key code, or 0 if no key, or -1 if error
- */
-static int kbd_getc(struct stdio_dev *dev)
-{
-       /* Just get input to do this for us */
-       return config.inited ? input_getc(&config.input) : 0;
-}
-
 /**
  * Check the keyboard, and send any keys that are pressed.
  *
@@ -121,6 +99,8 @@ static int kbd_getc(struct stdio_dev *dev)
  */
 int cros_ec_kbc_check(struct input_config *input)
 {
+       struct udevice *dev = input->dev;
+       struct cros_ec_keyb_priv *priv = dev_get_priv(dev);
        static struct key_matrix_key last_keys[KBC_MAX_KEYS];
        static int last_num_keys;
        struct key_matrix_key keys[KBC_MAX_KEYS];
@@ -139,9 +119,9 @@ int cros_ec_kbc_check(struct input_config *input)
         * may return 0 before all keys have been read from the EC.
         */
        do {
-               irq_pending = cros_ec_interrupt_pending(config.dev);
+               irq_pending = cros_ec_interrupt_pending(dev->parent);
                if (irq_pending) {
-                       num_keys = check_for_keys(&config, keys, KBC_MAX_KEYS,
+                       num_keys = check_for_keys(dev, keys, KBC_MAX_KEYS,
                                                  &same);
                        if (num_keys < 0)
                                return 0;
@@ -158,7 +138,7 @@ int cros_ec_kbc_check(struct input_config *input)
 
                if (num_keys < 0)
                        return -1;
-               num_keycodes = key_matrix_decode(&config.matrix, keys,
+               num_keycodes = key_matrix_decode(&priv->matrix, keys,
                                num_keys, keycodes, KBC_MAX_KEYS);
                sent = input_send_keycodes(input, keycodes, num_keycodes);
 
@@ -182,7 +162,7 @@ int cros_ec_kbc_check(struct input_config *input)
  * @return 0 if ok, -1 on error
  */
 static int cros_ec_keyb_decode_fdt(const void *blob, int node,
-                               struct keyb *config)
+                               struct cros_ec_keyb_priv *config)
 {
        /*
         * Get keyboard rows and columns - at present we are limited to
@@ -202,67 +182,56 @@ static int cros_ec_keyb_decode_fdt(const void *blob, int node,
        return 0;
 }
 
-/**
- * Set up the keyboard. This is called by the stdio device handler.
- *
- * We want to do this init when the keyboard is actually used rather than
- * at start-up, since keyboard input may not currently be selected.
- *
- * @return 0 if ok, -1 on error
- */
-static int cros_ec_init_keyboard(struct stdio_dev *dev)
+static int cros_ec_kbd_probe(struct udevice *dev)
 {
+       struct cros_ec_keyb_priv *priv = dev_get_priv(dev);
+       struct keyboard_priv *uc_priv = dev_get_uclass_priv(dev);
+       struct stdio_dev *sdev = &uc_priv->sdev;
+       struct input_config *input = &uc_priv->input;
        const void *blob = gd->fdt_blob;
-       int node;
+       int node = dev->of_offset;
+       int ret;
 
-       config.dev = board_get_cros_ec_dev();
-       if (!config.dev) {
-               debug("%s: no cros_ec device: cannot init keyboard\n",
-                     __func__);
+       if (cros_ec_keyb_decode_fdt(blob, node, priv))
                return -1;
-       }
-       node = fdtdec_next_compatible(blob, 0, COMPAT_GOOGLE_CROS_EC_KEYB);
-       if (node < 0) {
-               debug("%s: Node not found\n", __func__);
-               return -1;
-       }
-       if (cros_ec_keyb_decode_fdt(blob, node, &config))
-               return -1;
-       input_set_delays(&config.input, KBC_REPEAT_DELAY_MS,
-                        KBC_REPEAT_RATE_MS);
-       if (key_matrix_init(&config.matrix, config.key_rows,
-                       config.key_cols, config.ghost_filter)) {
+       input_set_delays(input, KBC_REPEAT_DELAY_MS, KBC_REPEAT_RATE_MS);
+       ret = key_matrix_init(&priv->matrix, priv->key_rows, priv->key_cols,
+                             priv->ghost_filter);
+       if (ret) {
                debug("%s: cannot init key matrix\n", __func__);
-               return -1;
+               return ret;
        }
-       if (key_matrix_decode_fdt(&config.matrix, gd->fdt_blob, node)) {
+       ret = key_matrix_decode_fdt(&priv->matrix, gd->fdt_blob, node);
+       if (ret) {
                debug("%s: Could not decode key matrix from fdt\n", __func__);
-               return -1;
+               return ret;
        }
-       config.inited = 1;
-       debug("%s: Matrix keyboard %dx%d ready\n", __func__, config.key_rows,
-             config.key_cols);
+       debug("%s: Matrix keyboard %dx%d ready\n", __func__, priv->key_rows,
+             priv->key_cols);
 
-       return 0;
-}
+       priv->input = input;
+       input->dev = dev;
+       input_add_tables(input, false);
+       input->read_keys = cros_ec_kbc_check;
+       strcpy(sdev->name, "cros-ec-keyb");
 
-int drv_keyboard_init(void)
-{
-       struct stdio_dev dev;
+       /* Register the device. cros_ec_init_keyboard() will be called soon */
+       return input_stdio_register(sdev);
+}
 
-       if (input_init(&config.input, 0)) {
-               debug("%s: Cannot set up input\n", __func__);
-               return -1;
-       }
-       config.input.read_keys = cros_ec_kbc_check;
+static const struct keyboard_ops cros_ec_kbd_ops = {
+};
 
-       memset(&dev, '\0', sizeof(dev));
-       strcpy(dev.name, "cros-ec-keyb");
-       dev.flags = DEV_FLAGS_INPUT;
-       dev.getc = kbd_getc;
-       dev.tstc = kbd_tstc;
-       dev.start = cros_ec_init_keyboard;
+static const struct udevice_id cros_ec_kbd_ids[] = {
+       { .compatible = "google,cros-ec-keyb" },
+       { }
+};
 
-       /* Register the device. cros_ec_init_keyboard() will be called soon */
-       return input_stdio_register(&dev);
-}
+U_BOOT_DRIVER(cros_ec_kbd) = {
+       .name   = "cros_ec_kbd",
+       .id     = UCLASS_KEYBOARD,
+       .of_match = cros_ec_kbd_ids,
+       .probe = cros_ec_kbd_probe,
+       .ops    = &cros_ec_kbd_ops,
+       .priv_auto_alloc_size = sizeof(struct cros_ec_keyb_priv),
+};
index 9b5fa326668432861eeac846e9e84e9dbeb8f752..661d7fd86c44a90f95ee9aba7cd0295852fb2a0e 100644 (file)
 
 /* i8042.c - Intel 8042 keyboard driver routines */
 
-/* includes */
-
 #include <common.h>
-#include <asm/io.h>
+#include <dm.h>
+#include <errno.h>
 #include <i8042.h>
+#include <input.h>
+#include <keyboard.h>
+#include <asm/io.h>
+
+DECLARE_GLOBAL_DATA_PTR;
 
 /* defines */
 #define in8(p)         inb(p)
 #define out8(p, v)     outb(v, p)
 
-#ifdef CONFIG_CONSOLE_CURSOR
-extern void console_cursor(int state);
-static int blink_count = CONFIG_SYS_CONSOLE_BLINK_COUNT;
-static int cursor_state;
-#endif
+enum {
+       QUIRK_DUP_POR   = 1 << 0,
+};
 
 /* locals */
-
-static int kbd_input = -1;             /* no input yet */
-static int kbd_mapping = KBD_US;       /* default US keyboard */
-static int kbd_flags = NORMAL;         /* after reset */
-static int kbd_state;                  /* unshift code */
-
-static unsigned char kbd_fct_map[144] = {
-       /* kbd_fct_map table for scan code */
-        0,  AS,  AS,  AS,  AS,  AS,  AS,  AS, /* scan 00-07 */
-       AS,  AS,  AS,  AS,  AS,  AS,  AS,  AS, /* scan 08-0F */
-       AS,  AS,  AS,  AS,  AS,  AS,  AS,  AS, /* scan 10-17 */
-       AS,  AS,  AS,  AS,  AS,  CN,  AS,  AS, /* scan 18-1F */
-       AS,  AS,  AS,  AS,  AS,  AS,  AS,  AS, /* scan 20-27 */
-       AS,  AS,  SH,  AS,  AS,  AS,  AS,  AS, /* scan 28-2F */
-       AS,  AS,  AS,  AS,  AS,  AS,  SH,  AS, /* scan 30-37 */
-       AS,  AS,  CP,   0,   0,   0,   0,   0, /* scan 38-3F */
-        0,   0,   0,   0,   0,  NM,  ST,  ES, /* scan 40-47 */
-       ES,  ES,  ES,  ES,  ES,  ES,  ES,  ES, /* scan 48-4F */
-       ES,  ES,  ES,  ES,   0,   0,  AS,   0, /* scan 50-57 */
-        0,   0,   0,   0,   0,   0,   0,   0, /* scan 58-5F */
-        0,   0,   0,   0,   0,   0,   0,   0, /* scan 60-67 */
-        0,   0,   0,   0,   0,   0,   0,   0, /* scan 68-6F */
-       AS,   0,   0,  AS,   0,   0,  AS,   0, /* scan 70-77 */
-        0,  AS,   0,   0,   0,  AS,   0,   0, /* scan 78-7F */
-       AS,  CN,  AS,  AS,  AK,  ST,  EX,  EX, /* enhanced */
-       AS,  EX,  EX,  AS,  EX,  AS,  EX,  EX  /* enhanced */
-       };
-
-static unsigned char kbd_key_map[2][5][144] = {
-       { /* US keyboard */
-       { /* unshift code */
-          0, 0x1b,  '1',  '2',  '3',  '4',  '5',  '6', /* scan 00-07 */
-        '7',  '8',  '9',  '0',  '-',  '=', 0x08, '\t', /* scan 08-0F */
-        'q',  'w',  'e',  'r',  't',  'y',  'u',  'i', /* scan 10-17 */
-        'o',  'p',  '[',  ']', '\r',   CN,  'a',  's', /* scan 18-1F */
-        'd',  'f',  'g',  'h',  'j',  'k',  'l',  ';', /* scan 20-27 */
-       '\'',  '`',   SH, '\\',  'z',  'x',  'c',  'v', /* scan 28-2F */
-        'b',  'n',  'm',  ',',  '.',  '/',   SH,  '*', /* scan 30-37 */
-        ' ',  ' ',   CP,    0,    0,    0,    0,    0, /* scan 38-3F */
-          0,    0,    0,    0,    0,   NM,   ST,  '7', /* scan 40-47 */
-        '8',  '9',  '-',  '4',  '5',  '6',  '+',  '1', /* scan 48-4F */
-        '2',  '3',  '0',  '.',    0,    0,    0,    0, /* scan 50-57 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 58-5F */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 60-67 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 68-6F */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 70-77 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 78-7F */
-       '\r',   CN,  '/',  '*',  ' ',   ST,  'F',  'A', /* extended */
-          0,  'D',  'C',    0,  'B',    0,  '@',  'P'  /* extended */
-       },
-       { /* shift code */
-          0, 0x1b,  '!',  '@',  '#',  '$',  '%',  '^', /* scan 00-07 */
-        '&',  '*',  '(',  ')',  '_',  '+', 0x08, '\t', /* scan 08-0F */
-        'Q',  'W',  'E',  'R',  'T',  'Y',  'U',  'I', /* scan 10-17 */
-        'O',  'P',  '{',  '}', '\r',   CN,  'A',  'S', /* scan 18-1F */
-        'D',  'F',  'G',  'H',  'J',  'K',  'L',  ':', /* scan 20-27 */
-        '"',  '~',   SH,  '|',  'Z',  'X',  'C',  'V', /* scan 28-2F */
-        'B',  'N',  'M',  '<',  '>',  '?',   SH,  '*', /* scan 30-37 */
-        ' ',  ' ',   CP,    0,    0,    0,    0,    0, /* scan 38-3F */
-          0,    0,    0,    0,    0,   NM,   ST,  '7', /* scan 40-47 */
-        '8',  '9',  '-',  '4',  '5',  '6',  '+',  '1', /* scan 48-4F */
-        '2',  '3',  '0',  '.',    0,    0,    0,    0, /* scan 50-57 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 58-5F */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 60-67 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 68-6F */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 70-77 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 78-7F */
-       '\r',   CN,  '/',  '*',  ' ',   ST,  'F',  'A', /* extended */
-          0,  'D',  'C',    0,  'B',    0,  '@',  'P'  /* extended */
-       },
-       { /* control code */
-       0xff, 0x1b, 0xff, 0x00, 0xff, 0xff, 0xff, 0xff, /* scan 00-07 */
-       0x1e, 0xff, 0xff, 0xff, 0x1f, 0xff, 0xff, '\t', /* scan 08-0F */
-       0x11, 0x17, 0x05, 0x12, 0x14, 0x19, 0x15, 0x09, /* scan 10-17 */
-       0x0f, 0x10, 0x1b, 0x1d, '\r',   CN, 0x01, 0x13, /* scan 18-1F */
-       0x04, 0x06, 0x07, 0x08, 0x0a, 0x0b, 0x0c, 0xff, /* scan 20-27 */
-       0xff, 0x1c,   SH, 0xff, 0x1a, 0x18, 0x03, 0x16, /* scan 28-2F */
-       0x02, 0x0e, 0x0d, 0xff, 0xff, 0xff,   SH, 0xff, /* scan 30-37 */
-       0xff, 0xff,   CP, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 38-3F */
-       0xff, 0xff, 0xff, 0xff, 0xff,   NM,   ST, 0xff, /* scan 40-47 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 48-4F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 50-57 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 58-5F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 60-67 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 68-6F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 70-77 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 78-7F */
-       '\r',   CN,  '/',  '*',  ' ',   ST, 0xff, 0xff, /* extended */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff  /* extended */
-       },
-       { /* non numeric code */
-          0, 0x1b,  '1',  '2',  '3',  '4',  '5',  '6', /* scan 00-07 */
-        '7',  '8',  '9',  '0',  '-',  '=', 0x08, '\t', /* scan 08-0F */
-        'q',  'w',  'e',  'r',  't',  'y',  'u',  'i', /* scan 10-17 */
-        'o',  'p',  '[',  ']', '\r',   CN,  'a',  's', /* scan 18-1F */
-        'd',  'f',  'g',  'h',  'j',  'k',  'l',  ';', /* scan 20-27 */
-       '\'',  '`',   SH, '\\',  'z',  'x',  'c',  'v', /* scan 28-2F */
-        'b',  'n',  'm',  ',',  '.',  '/',   SH,  '*', /* scan 30-37 */
-        ' ',  ' ',   CP,    0,    0,    0,    0,    0, /* scan 38-3F */
-          0,    0,    0,    0,    0,   NM,   ST,  'w', /* scan 40-47 */
-        'x',  'y',  'l',  't',  'u',  'v',  'm',  'q', /* scan 48-4F */
-        'r',  's',  'p',  'n',    0,    0,    0,    0, /* scan 50-57 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 58-5F */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 60-67 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 68-6F */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 70-77 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 78-7F */
-       '\r',   CN,  '/',  '*',  ' ',   ST,  'F',  'A', /* extended */
-          0,  'D',  'C',    0,  'B',    0,  '@',  'P'  /* extended */
-       },
-       { /* right alt mode - not used in US keyboard */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 00-07 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 08-0F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 10-17 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 18-1F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 20-27 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 28-2F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 30-37 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 38-3F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 40-47 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 48-4F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 50-57 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 58-5F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 60-67 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 68-6F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 70-77 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 78-7F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* extended */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff  /* extended */
-       }
-       },
-       { /* German keyboard */
-       { /* unshift code */
-          0, 0x1b,  '1',  '2',  '3',  '4',  '5',  '6', /* scan 00-07 */
-        '7',  '8',  '9',  '0', 0xe1, '\'', 0x08, '\t', /* scan 08-0F */
-        'q',  'w',  'e',  'r',  't',  'z',  'u',  'i', /* scan 10-17 */
-        'o',  'p', 0x81,  '+', '\r',   CN,  'a',  's', /* scan 18-1F */
-        'd',  'f',  'g',  'h',  'j',  'k',  'l', 0x94, /* scan 20-27 */
-       0x84,  '^',   SH,  '#',  'y',  'x',  'c',  'v', /* scan 28-2F */
-        'b',  'n',  'm',  ',',  '.',  '-',   SH,  '*', /* scan 30-37 */
-        ' ',  ' ',   CP,    0,    0,    0,    0,    0, /* scan 38-3F */
-          0,    0,    0,    0,    0,   NM,   ST,  '7', /* scan 40-47 */
-        '8',  '9',  '-',  '4',  '5',  '6',  '+',  '1', /* scan 48-4F */
-        '2',  '3',  '0',  ',',    0,    0,  '<',    0, /* scan 50-57 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 58-5F */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 60-67 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 68-6F */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 70-77 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 78-7F */
-       '\r',   CN,  '/',  '*',  ' ',   ST,  'F',  'A', /* extended */
-          0,  'D',  'C',    0,  'B',    0,  '@',  'P'  /* extended */
-       },
-       { /* shift code */
-          0, 0x1b,  '!',  '"', 0x15,  '$',  '%',  '&', /* scan 00-07 */
-        '/',  '(',  ')',  '=',  '?',  '`', 0x08, '\t', /* scan 08-0F */
-        'Q',  'W',  'E',  'R',  'T',  'Z',  'U',  'I', /* scan 10-17 */
-        'O',  'P', 0x9a,  '*', '\r',   CN,  'A',  'S', /* scan 18-1F */
-        'D',  'F',  'G',  'H',  'J',  'K',  'L', 0x99, /* scan 20-27 */
-       0x8e, 0xf8,   SH, '\'',  'Y',  'X',  'C',  'V', /* scan 28-2F */
-        'B',  'N',  'M',  ';',  ':',  '_',   SH,  '*', /* scan 30-37 */
-        ' ',  ' ',   CP,    0,    0,    0,    0,    0, /* scan 38-3F */
-          0,    0,    0,    0,    0,   NM,   ST,  '7', /* scan 40-47 */
-        '8',  '9',  '-',  '4',  '5',  '6',  '+',  '1', /* scan 48-4F */
-        '2',  '3',  '0',  ',',    0,    0,  '>',    0, /* scan 50-57 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 58-5F */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 60-67 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 68-6F */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 70-77 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 78-7F */
-       '\r',   CN,  '/',  '*',  ' ',   ST,  'F',  'A', /* extended */
-          0,  'D',  'C',    0,  'B',    0,  '@',  'P'  /* extended */
-       },
-       { /* control code */
-       0xff, 0x1b, 0xff, 0x00, 0xff, 0xff, 0xff, 0xff, /* scan 00-07 */
-       0x1e, 0xff, 0xff, 0xff, 0x1f, 0xff, 0xff, '\t', /* scan 08-0F */
-       0x11, 0x17, 0x05, 0x12, 0x14, 0x19, 0x15, 0x09, /* scan 10-17 */
-       0x0f, 0x10, 0x1b, 0x1d, '\r',   CN, 0x01, 0x13, /* scan 18-1F */
-       0x04, 0x06, 0x07, 0x08, 0x0a, 0x0b, 0x0c, 0xff, /* scan 20-27 */
-       0xff, 0x1c,   SH, 0xff, 0x1a, 0x18, 0x03, 0x16, /* scan 28-2F */
-       0x02, 0x0e, 0x0d, 0xff, 0xff, 0xff,   SH, 0xff, /* scan 30-37 */
-       0xff, 0xff,   CP, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 38-3F */
-       0xff, 0xff, 0xff, 0xff, 0xff,   NM,   ST, 0xff, /* scan 40-47 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 48-4F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 50-57 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 58-5F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 60-67 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 68-6F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 70-77 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 78-7F */
-       '\r',   CN,  '/',  '*',  ' ',   ST, 0xff, 0xff, /* extended */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff  /* extended */
-       },
-       { /* non numeric code */
-          0, 0x1b,  '1',  '2',  '3',  '4',  '5',  '6', /* scan 00-07 */
-        '7',  '8',  '9',  '0', 0xe1, '\'', 0x08, '\t', /* scan 08-0F */
-        'q',  'w',  'e',  'r',  't',  'z',  'u',  'i', /* scan 10-17 */
-        'o',  'p', 0x81,  '+', '\r',   CN,  'a',  's', /* scan 18-1F */
-        'd',  'f',  'g',  'h',  'j',  'k',  'l', 0x94, /* scan 20-27 */
-       0x84,  '^',   SH,    0,  'y',  'x',  'c',  'v', /* scan 28-2F */
-        'b',  'n',  'm',  ',',  '.',  '-',   SH,  '*', /* scan 30-37 */
-        ' ',  ' ',   CP,    0,    0,    0,    0,    0, /* scan 38-3F */
-          0,    0,    0,    0,    0,   NM,   ST,  'w', /* scan 40-47 */
-        'x',  'y',  'l',  't',  'u',  'v',  'm',  'q', /* scan 48-4F */
-        'r',  's',  'p',  'n',    0,    0,  '<',    0, /* scan 50-57 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 58-5F */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 60-67 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 68-6F */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 70-77 */
-          0,    0,    0,    0,    0,    0,    0,    0, /* scan 78-7F */
-       '\r',   CN,  '/',  '*',  ' ',   ST,  'F',  'A', /* extended */
-          0,  'D',  'C',    0,  'B',    0,  '@',  'P'  /* extended */
-       },
-       { /* right alt mode - is used in German keyboard */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 00-07 */
-        '{',  '[',  ']',  '}', '\\', 0xff, 0xff, 0xff, /* scan 08-0F */
-        '@', 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 10-17 */
-       0xff, 0xff, 0xff,  '~', 0xff, 0xff, 0xff, 0xff, /* scan 18-1F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 20-27 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 28-2F */
-       0xff, 0xff, 0xe6, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 30-37 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 38-3F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 40-47 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 48-4F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff,  '|', 0xff, /* scan 50-57 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 58-5F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 60-67 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 68-6F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 70-77 */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 78-7F */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* extended */
-       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff  /* extended */
-       }
-       }
-       };
+struct i8042_kbd_priv {
+       bool extended;  /* true if an extended keycode is expected next */
+       int quirks;     /* quirks that we support */
+};
 
 static unsigned char ext_key_map[] = {
        0x1c, /* keypad enter */
@@ -299,209 +71,92 @@ static int kbd_output_full(void)
        return kbd_timeout != -1;
 }
 
-static void kbd_led_set(void)
+/**
+ * check_leds() - Check the keyboard LEDs and update them it needed
+ *
+ * @ret:       Value to return
+ * @return value of @ret
+ */
+static int i8042_kbd_update_leds(struct udevice *dev, int leds)
 {
        kbd_input_empty();
        out8(I8042_DATA_REG, CMD_SET_KBD_LED);
        kbd_input_empty();
-       out8(I8042_DATA_REG, (kbd_flags & 0x7));
-}
-
-static void kbd_normal(unsigned char scan_code)
-{
-       unsigned char chr;
+       out8(I8042_DATA_REG, leds & 0x7);
 
-       if ((kbd_flags & BRK) == NORMAL) {
-               chr = kbd_key_map[kbd_mapping][kbd_state][scan_code];
-               if ((chr == 0xff) || (chr == 0x00))
-                       return;
-
-               /* if caps lock convert upper to lower */
-               if (((kbd_flags & CAPS) == CAPS) &&
-                   (chr >= 'a' && chr <= 'z')) {
-                       chr -= 'a' - 'A';
-               }
-               kbd_input = chr;
-       }
+       return 0;
 }
 
-static void kbd_shift(unsigned char scan_code)
+static int kbd_write(int reg, int value)
 {
-       if ((kbd_flags & BRK) == BRK) {
-               kbd_state = AS;
-               kbd_flags &= (~SHIFT);
-       } else {
-               kbd_state = SH;
-               kbd_flags |= SHIFT;
-       }
-}
+       if (!kbd_input_empty())
+               return -1;
+       out8(reg, value);
 
-static void kbd_ctrl(unsigned char scan_code)
-{
-       if ((kbd_flags & BRK) == BRK) {
-               kbd_state = AS;
-               kbd_flags &= (~CTRL);
-       } else {
-               kbd_state = CN;
-               kbd_flags |= CTRL;
-       }
+       return 0;
 }
 
-static void kbd_num(unsigned char scan_code)
+static int kbd_read(int reg)
 {
-       if ((kbd_flags & BRK) == NORMAL) {
-               kbd_flags ^= NUM;
-               kbd_state = (kbd_flags & NUM) ? AS : NM;
-               kbd_led_set();
-       }
-}
+       if (!kbd_output_full())
+               return -1;
 
-static void kbd_alt(unsigned char scan_code)
-{
-       if ((kbd_flags & BRK) == BRK) {
-               kbd_state = AS;
-               kbd_flags &= (~ALT);
-       } else {
-               kbd_state = AK;
-               kbd_flags &= ALT;
-       }
+       return in8(reg);
 }
 
-static void kbd_caps(unsigned char scan_code)
+static int kbd_cmd_read(int cmd)
 {
-       if ((kbd_flags & BRK) == NORMAL) {
-               kbd_flags ^= CAPS;
-               kbd_led_set();
-       }
-}
+       if (kbd_write(I8042_CMD_REG, cmd))
+               return -1;
 
-static void kbd_scroll(unsigned char scan_code)
-{
-       if ((kbd_flags & BRK) == NORMAL) {
-               kbd_flags ^= STP;
-               kbd_led_set();
-               if (kbd_flags & STP)
-                       kbd_input = 0x13;
-               else
-                       kbd_input = 0x11;
-       }
+       return kbd_read(I8042_DATA_REG);
 }
 
-static void kbd_conv_char(unsigned char scan_code)
+static int kbd_cmd_write(int cmd, int data)
 {
-       if (scan_code == 0xe0) {
-               kbd_flags |= EXT;
-               return;
-       }
-
-       /* if high bit of scan_code, set break flag */
-       if (scan_code & 0x80)
-               kbd_flags |=  BRK;
-       else
-               kbd_flags &= ~BRK;
-
-       if ((scan_code == 0xe1) || (kbd_flags & E1)) {
-               if (scan_code == 0xe1) {
-                       kbd_flags ^= BRK;       /* reset the break flag */
-                       kbd_flags ^= E1;        /* bitwise EXOR with E1 flag */
-               }
-               return;
-       }
-
-       scan_code &= 0x7f;
-
-       if (kbd_flags & EXT) {
-               int i;
-
-               kbd_flags ^= EXT;
-               for (i = 0; ext_key_map[i]; i++) {
-                       if (ext_key_map[i] == scan_code) {
-                               scan_code = 0x80 + i;
-                               break;
-                       }
-               }
-               /* not found ? */
-               if (!ext_key_map[i])
-                       return;
-       }
-
-       switch (kbd_fct_map[scan_code]) {
-       case AS:
-               kbd_normal(scan_code);
-               break;
-       case SH:
-               kbd_shift(scan_code);
-               break;
-       case CN:
-               kbd_ctrl(scan_code);
-               break;
-       case NM:
-               kbd_num(scan_code);
-               break;
-       case AK:
-               kbd_alt(scan_code);
-               break;
-       case CP:
-               kbd_caps(scan_code);
-               break;
-       case ST:
-               kbd_scroll(scan_code);
-               break;
-       }
+       if (kbd_write(I8042_CMD_REG, cmd))
+               return -1;
 
-       return;
+       return kbd_write(I8042_DATA_REG, data);
 }
 
-static int kbd_reset(void)
+static int kbd_reset(int quirk)
 {
-       u8 config;
+       int config;
 
        /* controller self test */
-       if (kbd_input_empty() == 0)
-               return -1;
-       out8(I8042_CMD_REG, CMD_SELF_TEST);
-       if (kbd_output_full() == 0)
-               return -1;
-       if (in8(I8042_DATA_REG) != KBC_TEST_OK)
-               return -1;
+       if (kbd_cmd_read(CMD_SELF_TEST) != KBC_TEST_OK)
+               goto err;
 
        /* keyboard reset */
-       if (kbd_input_empty() == 0)
-               return -1;
-       out8(I8042_DATA_REG, CMD_RESET_KBD);
-       if (kbd_output_full() == 0)
-               return -1;
-       if (in8(I8042_DATA_REG) != KBD_ACK)
-               return -1;
-       if (kbd_output_full() == 0)
-               return -1;
-       if (in8(I8042_DATA_REG) != KBD_POR)
-               return -1;
+       if (kbd_write(I8042_DATA_REG, CMD_RESET_KBD) ||
+           kbd_read(I8042_DATA_REG) != KBD_ACK ||
+           kbd_read(I8042_DATA_REG) != KBD_POR)
+               goto err;
 
        /* set AT translation and disable irq */
-       if (kbd_input_empty() == 0)
-               return -1;
-       out8(I8042_CMD_REG, CMD_RD_CONFIG);
-       if (kbd_output_full() == 0)
-               return -1;
-       config = in8(I8042_DATA_REG);
+       config = kbd_cmd_read(CMD_RD_CONFIG);
+       if (config == -1)
+               goto err;
+
+       /* Sometimes get a second byte */
+       else if ((quirk & QUIRK_DUP_POR) && config == KBD_POR)
+               config = kbd_cmd_read(CMD_RD_CONFIG);
+
        config |= CONFIG_AT_TRANS;
        config &= ~(CONFIG_KIRQ_EN | CONFIG_MIRQ_EN);
-       if (kbd_input_empty() == 0)
-               return -1;
-       out8(I8042_CMD_REG, CMD_WR_CONFIG);
-       if (kbd_input_empty() == 0)
-               return -1;
-       out8(I8042_DATA_REG, config);
+       if (kbd_cmd_write(CMD_WR_CONFIG, config))
+               goto err;
 
        /* enable keyboard */
-       if (kbd_input_empty() == 0)
-               return -1;
-       out8(I8042_CMD_REG, CMD_KBD_EN);
-       if (kbd_input_empty() == 0)
-               return -1;
+       if (kbd_write(I8042_CMD_REG, CMD_KBD_EN) ||
+           !kbd_input_empty())
+               goto err;
 
        return 0;
+err:
+       debug("%s: Keyboard failure\n", __func__);
+       return -1;
 }
 
 static int kbd_controller_present(void)
@@ -512,6 +167,8 @@ static int kbd_controller_present(void)
 /*
  * Implement a weak default function for boards that optionally
  * need to skip the i8042 initialization.
+ *
+ * TODO(sjg@chromium.org): Use device tree for this?
  */
 int __weak board_i8042_skip(void)
 {
@@ -556,15 +213,59 @@ int i8042_disable(void)
        return 0;
 }
 
+static int i8042_kbd_check(struct input_config *input)
+{
+       struct i8042_kbd_priv *priv = dev_get_priv(input->dev);
+
+       if ((in8(I8042_STS_REG) & STATUS_OBF) == 0) {
+               return 0;
+       } else {
+               bool release = false;
+               int scan_code;
+               int i;
+
+               scan_code = in8(I8042_DATA_REG);
+               if (scan_code == 0xfa) {
+                       return 0;
+               } else if (scan_code == 0xe0) {
+                       priv->extended = true;
+                       return 0;
+               }
+               if (scan_code & 0x80) {
+                       scan_code &= 0x7f;
+                       release = true;
+               }
+               if (priv->extended) {
+                       priv->extended = false;
+                       for (i = 0; ext_key_map[i]; i++) {
+                               if (ext_key_map[i] == scan_code) {
+                                       scan_code = 0x60 + i;
+                                       break;
+                               }
+                       }
+                       /* not found ? */
+                       if (!ext_key_map[i])
+                               return 0;
+               }
+
+               input_add_keycode(input, scan_code, release);
+               return 1;
+       }
+}
+
 /* i8042_kbd_init - reset keyboard and init state flags */
-int i8042_kbd_init(void)
+static int i8042_start(struct udevice *dev)
 {
+       struct keyboard_priv *uc_priv = dev_get_uclass_priv(dev);
+       struct i8042_kbd_priv *priv = dev_get_priv(dev);
+       struct input_config *input = &uc_priv->input;
        int keymap, try;
        char *penv;
+       int ret;
 
        if (!kbd_controller_present() || board_i8042_skip()) {
                debug("i8042 keyboard controller is not present\n");
-               return -1;
+               return -ENOENT;
        }
 
        /* Init keyboard device (default US layout) */
@@ -575,81 +276,75 @@ int i8042_kbd_init(void)
                        keymap = KBD_GER;
        }
 
-       for (try = 0; try < KBD_RESET_TRIES; try++) {
-               if (kbd_reset() == 0) {
-                       kbd_mapping = keymap;
-                       kbd_flags   = NORMAL;
-                       kbd_state   = 0;
-                       kbd_led_set();
-
-                       return 0;
-               }
+       for (try = 0; kbd_reset(priv->quirks) != 0; try++) {
+               if (try >= KBD_RESET_TRIES)
+                       return -1;
        }
 
-       return -1;
-}
+       ret = input_add_tables(input, keymap == KBD_GER);
+       if (ret)
+               return ret;
 
-/*
- * i8042_tstc - test if keyboard input is available
- *
- * option: cursor blinking if called in a loop
- */
-int i8042_tstc(struct stdio_dev *dev)
-{
-       unsigned char scan_code = 0;
-
-#ifdef CONFIG_CONSOLE_CURSOR
-       if (--blink_count == 0) {
-               cursor_state ^= 1;
-               console_cursor(cursor_state);
-               blink_count = CONFIG_SYS_CONSOLE_BLINK_COUNT;
-               udelay(10);
-       }
-#endif
-
-       if ((in8(I8042_STS_REG) & STATUS_OBF) == 0) {
-               return 0;
-       } else {
-               scan_code = in8(I8042_DATA_REG);
-               if (scan_code == 0xfa)
-                       return 0;
-
-               kbd_conv_char(scan_code);
-
-               if (kbd_input != -1)
-                       return 1;
-       }
+       i8042_kbd_update_leds(dev, NORMAL);
+       debug("%s: started\n", __func__);
 
        return 0;
 }
 
-/*
- * i8042_getc - wait till keyboard input is available
+/**
+ * Set up the i8042 keyboard. This is called by the stdio device handler
  *
- * option: turn on/off cursor while waiting
+ * We want to do this init when the keyboard is actually used rather than
+ * at start-up, since keyboard input may not currently be selected.
+ *
+ * Once the keyboard starts there will be a period during which we must
+ * wait for the keyboard to init. We do this only when a key is first
+ * read - see kbd_wait_for_fifo_init().
+ *
+ * @return 0 if ok, -ve on error
  */
-int i8042_getc(struct stdio_dev *dev)
+static int i8042_kbd_probe(struct udevice *dev)
 {
-       int ret_chr;
-       unsigned char scan_code;
-
-       while (kbd_input == -1) {
-               while ((in8(I8042_STS_REG) & STATUS_OBF) == 0) {
-#ifdef CONFIG_CONSOLE_CURSOR
-                       if (--blink_count == 0) {
-                               cursor_state ^= 1;
-                               console_cursor(cursor_state);
-                               blink_count = CONFIG_SYS_CONSOLE_BLINK_COUNT;
-                       }
-                       udelay(10);
-#endif
-               }
-               scan_code = in8(I8042_DATA_REG);
-               if (scan_code != 0xfa)
-                       kbd_conv_char(scan_code);
+       struct keyboard_priv *uc_priv = dev_get_uclass_priv(dev);
+       struct i8042_kbd_priv *priv = dev_get_priv(dev);
+       struct stdio_dev *sdev = &uc_priv->sdev;
+       struct input_config *input = &uc_priv->input;
+       int ret;
+
+       if (fdtdec_get_bool(gd->fdt_blob, dev->of_offset,
+                           "intel,duplicate-por"))
+               priv->quirks |= QUIRK_DUP_POR;
+
+       /* Register the device. i8042_start() will be called soon */
+       input->dev = dev;
+       input->read_keys = i8042_kbd_check;
+       input_allow_repeats(input, true);
+       strcpy(sdev->name, "i8042-kbd");
+       ret = input_stdio_register(sdev);
+       if (ret) {
+               debug("%s: input_stdio_register() failed\n", __func__);
+               return ret;
        }
-       ret_chr = kbd_input;
-       kbd_input = -1;
+       debug("%s: ready\n", __func__);
 
-       return ret_chr;
+       return 0;
 }
+
+static const struct keyboard_ops i8042_kbd_ops = {
+       .start  = i8042_start,
+       .update_leds    = i8042_kbd_update_leds,
+};
+
+static const struct udevice_id i8042_kbd_ids[] = {
+       { .compatible = "intel,i8042-keyboard" },
+       { }
+};
+
+U_BOOT_DRIVER(i8042_kbd) = {
+       .name   = "i8042_kbd",
+       .id     = UCLASS_KEYBOARD,
+       .of_match = i8042_kbd_ids,
+       .probe = i8042_kbd_probe,
+       .ops    = &i8042_kbd_ops,
+       .priv_auto_alloc_size = sizeof(struct i8042_kbd_priv),
+};
index 007b85524aafa9703e4053ef86406adccd2137c6..011667fedda4269a26dedfa8b1f83a9486086519 100644 (file)
@@ -8,15 +8,21 @@
  */
 
 #include <common.h>
+#include <console.h>
+#include <dm.h>
+#include <errno.h>
 #include <stdio_dev.h>
 #include <input.h>
+#ifdef CONFIG_DM_KEYBOARD
+#include <keyboard.h>
+#endif
 #include <linux/input.h>
 
 enum {
        /* These correspond to the lights on the keyboard */
-       FLAG_NUM_LOCK           = 1 << 0,
-       FLAG_CAPS_LOCK          = 1 << 1,
-       FLAG_SCROLL_LOCK        = 1 << 2,
+       FLAG_SCROLL_LOCK        = 1 << 0,
+       FLAG_NUM_LOCK           = 1 << 1,
+       FLAG_CAPS_LOCK          = 1 << 2,
 
        /* Special flag ORed with key code to indicate release */
        KEY_RELEASE             = 1 << 15,
@@ -42,7 +48,7 @@ static const uchar kbd_plain_xlate[] = {
        '8',  '9',  '-',  '4',  '5',  '6',  '+',  '1',  /* 0x40 - 0x4f */
        '2',  '3',  '0',  '.', 0xff, 0xff, 0xff, 0xff,
        0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* 0x50 - 0x5F */
-       '\r', 0xff, 0xff
+       '\r', 0xff, '/',  '*',
 };
 
 static unsigned char kbd_shift_xlate[] = {
@@ -58,13 +64,13 @@ static unsigned char kbd_shift_xlate[] = {
        '8', '9', '-', '4', '5', '6', '+', '1', /* 0x40 - 0x4f */
        '2', '3', '0', '.', 0xff, 0xff, 0xff, 0xff, 0xff,
        0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,       /* 0x50 - 0x5F */
-       '\r', 0xff, 0xff
+       '\r', 0xff, '/',  '*',
 };
 
 static unsigned char kbd_ctrl_xlate[] = {
        0xff, 0x1b, '1', 0x00, '3', '4', '5', 0x1E,
        '7', '8', '9', '0', 0x1F, '=', '\b', '\t',      /* 0x00 - 0x0f */
-       0x11, 0x17, 0x05, 0x12, 0x14, 0x18, 0x15, 0x09,
+       0x11, 0x17, 0x05, 0x12, 0x14, 0x19, 0x15, 0x09,
        0x0f, 0x10, 0x1b, 0x1d, '\n', 0xff, 0x01, 0x13, /* 0x10 - 0x1f */
        0x04, 0x06, 0x08, 0x09, 0x0a, 0x0b, 0x0c, ';',
        '\'', '~', 0x00, 0x1c, 0x1a, 0x18, 0x03, 0x16,  /* 0x20 - 0x2f */
@@ -74,7 +80,89 @@ static unsigned char kbd_ctrl_xlate[] = {
        '8', '9', '-', '4', '5', '6', '+', '1',         /* 0x40 - 0x4f */
        '2', '3', '0', '.', 0xff, 0xff, 0xff, 0xff,
        0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* 0x50 - 0x5F */
-       '\r', 0xff, 0xff
+       '\r', 0xff, '/',  '*',
+};
+
+static const uchar kbd_plain_xlate_german[] = {
+       0xff, 0x1b,  '1',  '2',  '3',  '4',  '5',  '6', /* scan 00-07 */
+        '7',  '8',  '9',  '0', 0xe1, '\'', 0x08, '\t', /* scan 08-0F */
+        'q',  'w',  'e',  'r',  't',  'z',  'u',  'i', /* scan 10-17 */
+        'o',  'p', 0x81,  '+', '\r', 0xff,  'a',  's', /* scan 18-1F */
+        'd',  'f',  'g',  'h',  'j',  'k',  'l', 0x94, /* scan 20-27 */
+       0x84,  '^', 0xff,  '#',  'y',  'x',  'c',  'v', /* scan 28-2F */
+        'b',  'n',  'm',  ',',  '.',  '-', 0xff,  '*', /* scan 30-37 */
+        ' ',  ' ', 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 38-3F */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,  '7', /* scan 40-47 */
+        '8',  '9',  '-',  '4',  '5',  '6',  '+',  '1', /* scan 48-4F */
+        '2',  '3',  '0',  ',', 0xff, 0xff,  '<', 0xff, /* scan 50-57 */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 58-5F */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 60-67 */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 68-6F */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 70-77 */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 78-7F */
+       '\r', 0xff,  '/',  '*',
+};
+
+static unsigned char kbd_shift_xlate_german[] = {
+          0xff, 0x1b,  '!',  '"', 0x15,  '$',  '%',  '&', /* scan 00-07 */
+        '/',  '(',  ')',  '=',  '?',  '`', 0x08, '\t', /* scan 08-0F */
+        'Q',  'W',  'E',  'R',  'T',  'Z',  'U',  'I', /* scan 10-17 */
+        'O',  'P', 0x9a,  '*', '\r', 0xff,  'A',  'S', /* scan 18-1F */
+        'D',  'F',  'G',  'H',  'J',  'K',  'L', 0x99, /* scan 20-27 */
+       0x8e, 0xf8, 0xff, '\'',  'Y',  'X',  'C',  'V', /* scan 28-2F */
+        'B',  'N',  'M',  ';',  ':',  '_', 0xff,  '*', /* scan 30-37 */
+        ' ',  ' ', 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 38-3F */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,  '7', /* scan 40-47 */
+        '8',  '9',  '-',  '4',  '5',  '6',  '+',  '1', /* scan 48-4F */
+        '2',  '3',  '0',  ',', 0xff, 0xff,  '>', 0xff, /* scan 50-57 */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 58-5F */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 60-67 */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 68-6F */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 70-77 */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 78-7F */
+       '\r', 0xff,  '/',  '*',
+};
+
+static unsigned char kbd_right_alt_xlate_german[] = {
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 00-07 */
+        '{',  '[',  ']',  '}', '\\', 0xff, 0xff, 0xff, /* scan 08-0F */
+        '@', 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 10-17 */
+       0xff, 0xff, 0xff,  '~', 0xff, 0xff, 0xff, 0xff, /* scan 18-1F */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 20-27 */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 28-2F */
+       0xff, 0xff, 0xe6, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 30-37 */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 38-3F */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 40-47 */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 48-4F */
+       0xff, 0xff, 0xff, 0xff, 0xff, 0xff,  '|', 0xff, /* scan 50-57 */
+};
+
+enum kbd_mask {
+       KBD_ENGLISH     = 1 << 0,
+       KBD_GERMAN      = 1 << 1,
+};
+
+static struct kbd_entry {
+       int kbd_mask;           /* Which languages this is for */
+       int left_keycode;       /* Left keycode to select this map */
+       int right_keycode;      /* Right keycode to select this map */
+       const uchar *xlate;     /* Ascii code for each keycode */
+       int num_entries;        /* Number of entries in xlate */
+} kbd_entry[] = {
+       { KBD_ENGLISH, -1, -1,
+               kbd_plain_xlate, ARRAY_SIZE(kbd_plain_xlate) },
+       { KBD_GERMAN, -1, -1,
+               kbd_plain_xlate_german, ARRAY_SIZE(kbd_plain_xlate_german) },
+       { KBD_ENGLISH, KEY_LEFTSHIFT, KEY_RIGHTSHIFT,
+               kbd_shift_xlate, ARRAY_SIZE(kbd_shift_xlate) },
+       { KBD_GERMAN, KEY_LEFTSHIFT, KEY_RIGHTSHIFT,
+               kbd_shift_xlate_german, ARRAY_SIZE(kbd_shift_xlate_german) },
+       { KBD_ENGLISH | KBD_GERMAN, KEY_LEFTCTRL, KEY_RIGHTCTRL,
+               kbd_ctrl_xlate, ARRAY_SIZE(kbd_ctrl_xlate) },
+       { KBD_GERMAN, -1, KEY_RIGHTALT,
+               kbd_right_alt_xlate_german,
+               ARRAY_SIZE(kbd_right_alt_xlate_german) },
+       {},
 };
 
 /*
@@ -106,6 +194,7 @@ static int input_queue_ascii(struct input_config *config, int ch)
                        return -1; /* buffer full */
                config->fifo_in++;
        }
+       debug(" {%02x} ", ch);
        config->fifo[config->fifo_in] = (uchar)ch;
 
        return 0;
@@ -151,8 +240,11 @@ int input_getc(struct input_config *config)
 static struct input_key_xlate *process_modifier(struct input_config *config,
                                                int key, int release)
 {
+#ifdef CONFIG_DM_KEYBOARD
+       struct udevice *dev = config->dev;
+       struct keyboard_ops *ops = keyboard_get_ops(dev);
+#endif
        struct input_key_xlate *table;
-       int flip = -1;
        int i;
 
        /* Start with the main table, and see what modifiers change it */
@@ -167,6 +259,8 @@ static struct input_key_xlate *process_modifier(struct input_config *config,
 
        /* Handle the lighted keys */
        if (!release) {
+               int flip = -1;
+
                switch (key) {
                case KEY_SCROLLLOCK:
                        flip = FLAG_SCROLL_LOCK;
@@ -178,19 +272,27 @@ static struct input_key_xlate *process_modifier(struct input_config *config,
                        flip = FLAG_CAPS_LOCK;
                        break;
                }
-       }
 
-       if (flip != -1) {
-               int leds = 0;
-
-               config->leds ^= flip;
-               if (config->flags & FLAG_NUM_LOCK)
-                       leds |= INPUT_LED_NUM;
-               if (config->flags & FLAG_CAPS_LOCK)
-                       leds |= INPUT_LED_CAPS;
-               if (config->flags & FLAG_SCROLL_LOCK)
-                       leds |= INPUT_LED_SCROLL;
-               config->leds = leds;
+               if (flip != -1) {
+                       int leds = 0;
+
+                       config->flags ^= flip;
+                       if (config->flags & FLAG_NUM_LOCK)
+                               leds |= INPUT_LED_NUM;
+                       if (config->flags & FLAG_CAPS_LOCK)
+                               leds |= INPUT_LED_CAPS;
+                       if (config->flags & FLAG_SCROLL_LOCK)
+                               leds |= INPUT_LED_SCROLL;
+                       config->leds = leds;
+                       config->leds_changed = flip;
+
+#ifdef CONFIG_DM_KEYBOARD
+                       if (ops->update_leds) {
+                               if (ops->update_leds(dev, config->leds))
+                                       debug("Update keyboard's LED failed\n");
+                       }
+#endif
+               }
        }
 
        return table;
@@ -367,16 +469,25 @@ static int input_keycodes_to_ascii(struct input_config *config,
        /* Start conversion by looking for the first new keycode (by same). */
        for (i = same; i < num_keycodes; i++) {
                int key = keycode[i];
-               int ch = (key < table->num_entries) ? table->xlate[key] : 0xff;
+               int ch;
 
                /*
                 * For a normal key (with an ASCII value), add it; otherwise
                 * translate special key to escape sequence if possible.
                 */
-               if (ch != 0xff) {
-                       if (ch_count < max_chars)
-                               output_ch[ch_count] = (uchar)ch;
-                       ch_count++;
+               if (key < table->num_entries) {
+                       ch = table->xlate[key];
+                       if ((config->flags & FLAG_CAPS_LOCK) &&
+                           ch >= 'a' && ch <= 'z')
+                               ch -= 'a' - 'A';
+                       /* ban digit numbers if 'Num Lock' is not on */
+                       if (!(config->flags & FLAG_NUM_LOCK)) {
+                               if (key >= KEY_KP7 && key <= KEY_KPDOT &&
+                                   key != KEY_KPMINUS && key != KEY_KPPLUS)
+                                       ch = 0xff;
+                       }
+                       if (ch_count < max_chars && ch != 0xff)
+                               output_ch[ch_count++] = (uchar)ch;
                } else {
                        ch_count += input_keycode_to_ansi364(config, key,
                                                output_ch, max_chars);
@@ -393,8 +504,8 @@ static int input_keycodes_to_ascii(struct input_config *config,
        return ch_count;
 }
 
-int input_send_keycodes(struct input_config *config,
-                       int keycode[], int num_keycodes)
+static int _input_send_keycodes(struct input_config *config, int keycode[],
+                               int num_keycodes, bool do_send)
 {
        char ch[num_keycodes * ANSI_CHAR_MAX];
        int count, i, same = 0;
@@ -411,16 +522,18 @@ int input_send_keycodes(struct input_config *config,
                 * insert another character if we later realise that we
                 * have missed a repeat slot.
                 */
-               is_repeat = config->repeat_rate_ms &&
-                       (int)get_timer(config->next_repeat_ms) >= 0;
+               is_repeat = config->allow_repeats || (config->repeat_rate_ms &&
+                       (int)get_timer(config->next_repeat_ms) >= 0);
                if (!is_repeat)
                        return 0;
        }
 
        count = input_keycodes_to_ascii(config, keycode, num_keycodes,
                                        ch, sizeof(ch), is_repeat ? 0 : same);
-       for (i = 0; i < count; i++)
-               input_queue_ascii(config, ch[i]);
+       if (do_send) {
+               for (i = 0; i < count; i++)
+                       input_queue_ascii(config, ch[i]);
+       }
        delay_ms = is_repeat ?
                        config->repeat_rate_ms :
                        config->repeat_delay_ms;
@@ -430,6 +543,41 @@ int input_send_keycodes(struct input_config *config,
        return count;
 }
 
+int input_send_keycodes(struct input_config *config, int keycode[],
+                       int num_keycodes)
+{
+       return _input_send_keycodes(config, keycode, num_keycodes, true);
+}
+
+int input_add_keycode(struct input_config *config, int new_keycode,
+                     bool release)
+{
+       int keycode[INPUT_MAX_MODIFIERS + 1];
+       int count, i;
+
+       /* Add the old keycodes which are not removed by this new one */
+       for (i = 0, count = 0; i < config->num_prev_keycodes; i++) {
+               int code = config->prev_keycodes[i];
+
+               if (new_keycode == code) {
+                       if (release)
+                               continue;
+                       new_keycode = -1;
+               }
+               keycode[count++] = code;
+       }
+
+       if (!release && new_keycode != -1)
+               keycode[count++] = new_keycode;
+       debug("\ncodes for %02x/%d: ", new_keycode, release);
+       for (i = 0; i < count; i++)
+               debug("%02x ", keycode[i]);
+       debug("\n");
+
+       /* Don't output any ASCII characters if this is a key release */
+       return _input_send_keycodes(config, keycode, count, !release);
+}
+
 int input_add_table(struct input_config *config, int left_keycode,
                    int right_keycode, const uchar *xlate, int num_entries)
 {
@@ -456,19 +604,43 @@ void input_set_delays(struct input_config *config, int repeat_delay_ms,
        config->repeat_rate_ms = repeat_rate_ms;
 }
 
+void input_allow_repeats(struct input_config *config, bool allow_repeats)
+{
+       config->allow_repeats = allow_repeats;
+}
+
+int input_leds_changed(struct input_config *config)
+{
+       if (config->leds_changed)
+               return config->leds;
+
+       return -1;
+}
+
+int input_add_tables(struct input_config *config, bool german)
+{
+       struct kbd_entry *entry;
+       int mask;
+       int ret;
+
+       mask = german ? KBD_GERMAN : KBD_ENGLISH;
+       for (entry = kbd_entry; entry->kbd_mask; entry++) {
+               if (!(mask & entry->kbd_mask))
+                       continue;
+               ret = input_add_table(config, entry->left_keycode,
+                                     entry->right_keycode, entry->xlate,
+                                     entry->num_entries);
+               if (ret)
+                       return ret;
+       }
+
+       return 0;
+}
+
 int input_init(struct input_config *config, int leds)
 {
        memset(config, '\0', sizeof(*config));
        config->leds = leds;
-       if (input_add_table(config, -1, -1,
-                       kbd_plain_xlate, ARRAY_SIZE(kbd_plain_xlate)) ||
-               input_add_table(config, KEY_LEFTSHIFT, KEY_RIGHTSHIFT,
-                       kbd_shift_xlate, ARRAY_SIZE(kbd_shift_xlate)) ||
-               input_add_table(config, KEY_LEFTCTRL, KEY_RIGHTCTRL,
-                       kbd_ctrl_xlate, ARRAY_SIZE(kbd_ctrl_xlate))) {
-               debug("%s: Could not add modifier tables\n", __func__);
-               return -1;
-       }
 
        return 0;
 }
diff --git a/drivers/input/keyboard-uclass.c b/drivers/input/keyboard-uclass.c
new file mode 100644 (file)
index 0000000..e2ce25c
--- /dev/null
@@ -0,0 +1,91 @@
+/*
+ * Copyright (c) 2015 Google, Inc
+ *
+ * SPDX-License-Identifier:    GPL-2.0+
+ */
+
+#include <common.h>
+#include <dm.h>
+#include <keyboard.h>
+
+static int keyboard_start(struct stdio_dev *sdev)
+{
+       struct udevice *dev = sdev->priv;
+       struct keyboard_ops *ops = keyboard_get_ops(dev);
+
+       if (ops->start)
+               return ops->start(dev);
+
+       return 0;
+}
+
+static int keyboard_stop(struct stdio_dev *sdev)
+{
+       struct udevice *dev = sdev->priv;
+       struct keyboard_ops *ops = keyboard_get_ops(dev);
+
+       if (ops->stop)
+               return ops->stop(dev);
+
+       return 0;
+}
+
+static int keyboard_tstc(struct stdio_dev *sdev)
+{
+       struct udevice *dev = sdev->priv;
+       struct keyboard_priv *priv = dev_get_uclass_priv(dev);
+       struct keyboard_ops *ops = keyboard_get_ops(dev);
+
+       /* Just get input to do this for us if we can */
+       if (priv->input.dev)
+               return input_tstc(&priv->input);
+       else if (ops->tstc)
+               return ops->tstc(dev);
+
+       return -ENOSYS;
+}
+
+static int keyboard_getc(struct stdio_dev *sdev)
+{
+       struct udevice *dev = sdev->priv;
+       struct keyboard_priv *priv = dev_get_uclass_priv(dev);
+       struct keyboard_ops *ops = keyboard_get_ops(dev);
+
+       /* Just get input to do this for us if we can */
+       if (priv->input.dev)
+               return input_getc(&priv->input);
+       else if (ops->getc)
+               return ops->getc(dev);
+
+       return -ENOSYS;
+}
+
+static int keyboard_pre_probe(struct udevice *dev)
+{
+       struct keyboard_priv *priv = dev_get_uclass_priv(dev);
+       struct stdio_dev *sdev = &priv->sdev;
+       int ret;
+
+       strlcpy(sdev->name, dev->name, sizeof(sdev->name));
+       sdev->flags = DEV_FLAGS_INPUT;
+       sdev->getc = keyboard_getc;
+       sdev->tstc = keyboard_tstc;
+       sdev->start = keyboard_start;
+       sdev->stop = keyboard_stop;
+       sdev->priv = dev;
+       ret = input_init(&priv->input, 0);
+       if (ret) {
+               debug("%s: Cannot set up input, ret=%d - please add DEBUG to drivers/input/input.c to figure out the cause\n",
+                     __func__, ret);
+               return ret;
+       }
+
+       return 0;
+}
+
+UCLASS_DRIVER(keyboard) = {
+       .id             = UCLASS_KEYBOARD,
+       .name           = "keyboard",
+       .pre_probe      = keyboard_pre_probe,
+       .per_device_auto_alloc_size = sizeof(struct keyboard_priv),
+};
index ca3886a18ec9ee959444f60d93496f419136a070..48255bd87b4857670e4dc20c838a2c49eade8f78 100644 (file)
  ***********************************************************************/
 
 #include <common.h>
+#include <console.h>
+#include <input.h>
 
 #include <stdio_dev.h>
 #include <keyboard.h>
+#include <stdio_dev.h>
 
-#undef KBG_DEBUG
-
-#ifdef KBG_DEBUG
-#define        PRINTF(fmt,args...)     printf (fmt ,##args)
-#else
-#define PRINTF(fmt,args...)
-#endif
-
-
-#define        DEVNAME                 "kbd"
-
-#define        LED_SCR                 0x01    /* scroll lock led */
-#define        LED_CAP                 0x04    /* caps lock led */
-#define        LED_NUM                 0x02    /* num lock led */
-
-#define        KBD_BUFFER_LEN          0x20  /* size of the keyboardbuffer */
+static struct input_config config;
 
-#if defined(CONFIG_MPC5xxx) || defined(CONFIG_MPC8540) || defined(CONFIG_MPC8541) || defined(CONFIG_MPC8555)
-int ps2ser_check(void);
+static int kbd_read_keys(struct input_config *config)
+{
+#if defined(CONFIG_MPC5xxx) || defined(CONFIG_MPC8540) || \
+               defined(CONFIG_MPC8541) || defined(CONFIG_MPC8555)
+       /* no ISR is used, so received chars must be polled */
+       ps2ser_check();
 #endif
 
-static volatile char kbd_buffer[KBD_BUFFER_LEN];
-static volatile int in_pointer = 0;
-static volatile int out_pointer = 0;
+       return 1;
+}
 
-static unsigned char leds = 0;
-static unsigned char num_lock = 0;
-static unsigned char caps_lock = 0;
-static unsigned char scroll_lock = 0;
-static unsigned char shift = 0;
-static unsigned char ctrl = 0;
-static unsigned char alt = 0;
-static unsigned char e0 = 0;
+static int check_leds(int ret)
+{
+       int leds;
 
-/******************************************************************
- * Queue handling
- ******************************************************************/
+       leds = input_leds_changed(&config);
+       if (leds >= 0)
+               pckbd_leds(leds);
 
-/* puts character in the queue and sets up the in and out pointer */
-static void kbd_put_queue(char data)
-{
-       if((in_pointer+1)==KBD_BUFFER_LEN) {
-               if(out_pointer==0) {
-                       return; /* buffer full */
-               } else{
-                       in_pointer=0;
-               }
-       } else {
-               if((in_pointer+1)==out_pointer)
-                       return; /* buffer full */
-               in_pointer++;
-       }
-       kbd_buffer[in_pointer]=data;
-       return;
+       return ret;
 }
 
 /* test if a character is in the queue */
 static int kbd_testc(struct stdio_dev *dev)
 {
-#if defined(CONFIG_MPC5xxx) || defined(CONFIG_MPC8540) || defined(CONFIG_MPC8541) || defined(CONFIG_MPC8555)
-       /* no ISR is used, so received chars must be polled */
-       ps2ser_check();
-#endif
-       if(in_pointer==out_pointer)
-               return(0); /* no data */
-       else
-               return(1);
+       return check_leds(input_tstc(&config));
 }
 
 /* gets the character from the queue */
 static int kbd_getc(struct stdio_dev *dev)
 {
-       char c;
-       while(in_pointer==out_pointer) {
-#if defined(CONFIG_MPC5xxx) || defined(CONFIG_MPC8540) || defined(CONFIG_MPC8541) || defined(CONFIG_MPC8555)
-       /* no ISR is used, so received chars must be polled */
-       ps2ser_check();
-#endif
-       ;}
-       if((out_pointer+1)==KBD_BUFFER_LEN)
-               out_pointer=0;
-       else
-               out_pointer++;
-       c=kbd_buffer[out_pointer];
-       return (int)c;
-
+       return check_leds(input_getc(&config));
 }
 
-/* Simple translation table for the keys */
-
-static unsigned char kbd_plain_xlate[] = {
-       0xff,0x1b, '1', '2', '3', '4', '5', '6', '7', '8', '9', '0', '-', '=','\b','\t',        /* 0x00 - 0x0f */
-        'q', 'w', 'e', 'r', 't', 'y', 'u', 'i', 'o', 'p', '[', ']','\r',0xff, 'a', 's',        /* 0x10 - 0x1f */
-        'd', 'f', 'g', 'h', 'j', 'k', 'l', ';','\'', '`',0xff,'\\', 'z', 'x', 'c', 'v',        /* 0x20 - 0x2f */
-        'b', 'n', 'm', ',', '.', '/',0xff,0xff,0xff, ' ',0xff,0xff,0xff,0xff,0xff,0xff,        /* 0x30 - 0x3f */
-       0xff,0xff,0xff,0xff,0xff,0xff,0xff, '7', '8', '9', '-', '4', '5', '6', '+', '1',        /* 0x40 - 0x4f */
-        '2', '3', '0', '.',0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,  /* 0x50 - 0x5F */
-       '\r',0xff,0xff
-       };
-
-static unsigned char kbd_shift_xlate[] = {
-       0xff,0x1b, '!', '@', '#', '$', '%', '^', '&', '*', '(', ')', '_', '+','\b','\t',        /* 0x00 - 0x0f */
-        'Q', 'W', 'E', 'R', 'T', 'Y', 'U', 'I', 'O', 'P', '{', '}','\r',0xff, 'A', 'S',        /* 0x10 - 0x1f */
-        'D', 'F', 'G', 'H', 'J', 'K', 'L', ':', '"', '~',0xff, '|', 'Z', 'X', 'C', 'V',        /* 0x20 - 0x2f */
-        'B', 'N', 'M', '<', '>', '?',0xff,0xff,0xff, ' ',0xff,0xff,0xff,0xff,0xff,0xff,        /* 0x30 - 0x3f */
-       0xff,0xff,0xff,0xff,0xff,0xff,0xff, '7', '8', '9', '-', '4', '5', '6', '+', '1',        /* 0x40 - 0x4f */
-        '2', '3', '0', '.',0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,  /* 0x50 - 0x5F */
-       '\r',0xff,0xff
-       };
-
-static unsigned char kbd_ctrl_xlate[] = {
-       0xff,0x1b, '1',0x00, '3', '4', '5',0x1E, '7', '8', '9', '0',0x1F, '=','\b','\t',        /* 0x00 - 0x0f */
-       0x11,0x17,0x05,0x12,0x14,0x18,0x15,0x09,0x0f,0x10,0x1b,0x1d,'\n',0xff,0x01,0x13,        /* 0x10 - 0x1f */
-       0x04,0x06,0x08,0x09,0x0a,0x0b,0x0c, ';','\'', '~',0x00,0x1c,0x1a,0x18,0x03,0x16,        /* 0x20 - 0x2f */
-       0x02,0x0e,0x0d, '<', '>', '?',0xff,0xff,0xff,0x00,0xff,0xff,0xff,0xff,0xff,0xff,        /* 0x30 - 0x3f */
-       0xff,0xff,0xff,0xff,0xff,0xff,0xff, '7', '8', '9', '-', '4', '5', '6', '+', '1',        /* 0x40 - 0x4f */
-        '2', '3', '0', '.',0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,  /* 0x50 - 0x5F */
-       '\r',0xff,0xff
-       };
-
-
-void handle_scancode(unsigned char scancode)
+void handle_scancode(unsigned char scan_code)
 {
-       unsigned char keycode;
+       bool release = false;
 
-       /*  Convert scancode to keycode */
-       PRINTF("scancode %x\n",scancode);
-       if(scancode==0xe0) {
-               e0=1; /* special charakters */
-               return;
-       }
-       if(e0==1) {
-               e0=0; /* delete flag */
-               if(!(   ((scancode&0x7F)==0x38)|| /* the right ctrl key */
-                                       ((scancode&0x7F)==0x1D)|| /* the right alt key */
-                                       ((scancode&0x7F)==0x35)||       /* the right '/' key */
-                                       ((scancode&0x7F)==0x1C) ))  /* the right enter key */
-                       /* we swallow unknown e0 codes */
-                       return;
-       }
-       /* special cntrl keys */
-       switch(scancode) {
-       case 0x2A:
-       case 0x36: /* shift pressed */
-               shift=1;
-               return; /* do nothing else */
-       case 0xAA:
-       case 0xB6: /* shift released */
-               shift=0;
-               return; /* do nothing else */
-       case 0x38: /* alt pressed */
-               alt=1;
-               return; /* do nothing else */
-       case 0xB8: /* alt released */
-               alt=0;
-               return; /* do nothing else */
-       case 0x1d: /* ctrl pressed */
-               ctrl=1;
-               return; /* do nothing else */
-       case 0x9d: /* ctrl released */
-               ctrl=0;
-               return; /* do nothing else */
-       case 0x46: /* scrollock pressed */
-               scroll_lock=~scroll_lock;
-               if(scroll_lock==0)
-                       leds&=~LED_SCR; /* switch LED off */
-               else
-                       leds|=LED_SCR; /* switch on LED */
-               pckbd_leds(leds);
-               return; /* do nothing else */
-       case 0x3A: /* capslock pressed */
-               caps_lock=~caps_lock;
-               if(caps_lock==0)
-                       leds&=~LED_CAP; /* switch caps_lock off */
-               else
-                       leds|=LED_CAP; /* switch on LED */
-               pckbd_leds(leds);
-               return;
-       case 0x45: /* numlock pressed */
-               num_lock=~num_lock;
-               if(num_lock==0)
-                       leds&=~LED_NUM; /* switch LED off */
-               else
-                       leds|=LED_NUM;  /* switch on LED */
-               pckbd_leds(leds);
-               return;
-       case 0xC6: /* scroll lock released */
-       case 0xC5: /* num lock released */
-       case 0xBA: /* caps lock released */
-               return; /* just swallow */
-       }
-#if 1
-       if((scancode&0x80)==0x80) /* key released */
-               return;
-#else
-       if((scancode&0x80)==0x00) /* key pressed */
-               return;
-       scancode &= ~0x80;
-#endif
-       /* now, decide which table we need */
-       if(scancode > (sizeof(kbd_plain_xlate)/sizeof(kbd_plain_xlate[0]))) { /* scancode not in list */
-               PRINTF("unkown scancode %X\n",scancode);
-               return; /* swallow it */
-       }
-       /* setup plain code first */
-       keycode=kbd_plain_xlate[scancode];
-       if(caps_lock==1) { /* caps_lock is pressed, overwrite plain code */
-               if(scancode > (sizeof(kbd_shift_xlate)/sizeof(kbd_shift_xlate[0]))) { /* scancode not in list */
-                       PRINTF("unkown caps-locked scancode %X\n",scancode);
-                       return; /* swallow it */
-               }
-               keycode=kbd_shift_xlate[scancode];
-               if(keycode<'A') { /* we only want the alphas capital */
-                       keycode=kbd_plain_xlate[scancode];
-               }
-       }
-       if(shift==1) { /* shift overwrites caps_lock */
-               if(scancode > (sizeof(kbd_shift_xlate)/sizeof(kbd_shift_xlate[0]))) { /* scancode not in list */
-                       PRINTF("unkown shifted scancode %X\n",scancode);
-                       return; /* swallow it */
-               }
-               keycode=kbd_shift_xlate[scancode];
-       }
-       if(ctrl==1) { /* ctrl overwrites caps_lock and shift */
-               if(scancode > (sizeof(kbd_ctrl_xlate)/sizeof(kbd_ctrl_xlate[0]))) { /* scancode not in list */
-                       PRINTF("unkown ctrl scancode %X\n",scancode);
-                       return; /* swallow it */
-               }
-               keycode=kbd_ctrl_xlate[scancode];
-       }
-       /* check if valid keycode */
-       if(keycode==0xff) {
-               PRINTF("unkown scancode %X\n",scancode);
-               return; /* swallow unknown codes */
+       /* Compare with i8042_kbd_check() in i8042.c if some logic is missing */
+       if (scan_code & 0x80) {
+               scan_code &= 0x7f;
+               release = true;
        }
 
-       kbd_put_queue(keycode);
-       PRINTF("%x\n",keycode);
+       input_add_keycode(&config, scan_code, release);
 }
 
-/******************************************************************
- * Init
- ******************************************************************/
-
-#ifdef CONFIG_SYS_CONSOLE_OVERWRITE_ROUTINE
-extern int overwrite_console (void);
-#define OVERWRITE_CONSOLE overwrite_console ()
-#else
-#define OVERWRITE_CONSOLE 0
-#endif /* CONFIG_SYS_CONSOLE_OVERWRITE_ROUTINE */
-
+/* TODO: convert to driver model */
 int kbd_init (void)
 {
-       int error;
-       struct stdio_dev kbddev ;
-       char *stdinname  = getenv ("stdin");
+       struct stdio_dev kbddev;
+       struct input_config *input = &config;
 
        if(kbd_init_hw()==-1)
                return -1;
        memset (&kbddev, 0, sizeof(kbddev));
-       strcpy(kbddev.name, DEVNAME);
+       strcpy(kbddev.name, "kbd");
        kbddev.flags =  DEV_FLAGS_INPUT;
-       kbddev.getc = kbd_getc ;
-       kbddev.tstc = kbd_testc ;
+       kbddev.getc = kbd_getc;
+       kbddev.tstc = kbd_testc;
 
-       error = stdio_register (&kbddev);
-       if(error==0) {
-               /* check if this is the standard input device */
-               if(strcmp(stdinname,DEVNAME)==0) {
-                       /* reassign the console */
-                       if(OVERWRITE_CONSOLE) {
-                               return 1;
-                       }
-                       error=console_assign(stdin,DEVNAME);
-                       if(error==0)
-                               return 1;
-                       else
-                               return error;
-               }
-               return 1;
-       }
-       return error;
+       input_init(input, 0);
+       input->read_keys = kbd_read_keys;
+       input_add_tables(input, true);
+
+       return input_stdio_register(&kbddev);
 }
index 6b88db4def79b802f0ccb8750ae2c40b6453ed22..951cbb4481b4a9a2ad98a7d65386117196be12c9 100644 (file)
@@ -6,8 +6,10 @@
  */
 
 #include <common.h>
+#include <dm.h>
 #include <fdtdec.h>
 #include <input.h>
+#include <keyboard.h>
 #include <key_matrix.h>
 #include <stdio_dev.h>
 #include <tegra-kbc.h>
@@ -40,14 +42,13 @@ enum {
 };
 
 /* keyboard controller config and state */
-static struct keyb {
-       struct input_config input;      /* The input layer */
+struct tegra_kbd_priv {
+       struct input_config *input;     /* The input layer */
        struct key_matrix matrix;       /* The key matrix layer */
 
        struct kbc_tegra *kbc;          /* tegra keyboard controller */
        unsigned char inited;           /* 1 if keyboard has been inited */
        unsigned char first_scan;       /* 1 if this is our first key scan */
-       unsigned char created;          /* 1 if driver has been created */
 
        /*
         * After init we must wait a short time before polling the keyboard.
@@ -58,17 +59,17 @@ static struct keyb {
        unsigned int start_time_ms;     /* Time that we inited (in ms) */
        unsigned int last_poll_ms;      /* Time we should last polled */
        unsigned int next_repeat_ms;    /* Next time we repeat a key */
-} config;
+};
 
 /**
  * reads the keyboard fifo for current keypresses
  *
- * @param config       Keyboard config
+ * @param priv         Keyboard private data
  * @param fifo         Place to put fifo results
  * @param max_keycodes Maximum number of key codes to put in the fifo
  * @return number of items put into fifo
  */
-static int tegra_kbc_find_keys(struct keyb *config, int *fifo,
+static int tegra_kbc_find_keys(struct tegra_kbd_priv *priv, int *fifo,
                               int max_keycodes)
 {
        struct key_matrix_key keys[KBC_MAX_KPENT], *key;
@@ -78,7 +79,7 @@ static int tegra_kbc_find_keys(struct keyb *config, int *fifo,
        for (key = keys, i = 0; i < KBC_MAX_KPENT; i++, key++) {
                /* Get next word */
                if (!(i & 3))
-                       kp_ent = readl(&config->kbc->kp_ent[i / 4]);
+                       kp_ent = readl(&priv->kbc->kp_ent[i / 4]);
 
                key->valid = (kp_ent & KBC_KPENT_VALID) != 0;
                key->row = (kp_ent >> 3) & 0xf;
@@ -87,7 +88,7 @@ static int tegra_kbc_find_keys(struct keyb *config, int *fifo,
                /* Shift to get next entry */
                kp_ent >>= 8;
        }
-       return key_matrix_decode(&config->matrix, keys, KBC_MAX_KPENT, fifo,
+       return key_matrix_decode(&priv->matrix, keys, KBC_MAX_KPENT, fifo,
                                 max_keycodes);
 }
 
@@ -106,10 +107,10 @@ static int tegra_kbc_find_keys(struct keyb *config, int *fifo,
  * Note: if fifo_cnt is 0, we will tell the input layer that no keys are
  * pressed.
  *
- * @param config       Keyboard config
+ * @param priv         Keyboard private data
  * @param fifo_cnt     Number of entries in the keyboard fifo
  */
-static void process_fifo(struct keyb *config, int fifo_cnt)
+static void process_fifo(struct tegra_kbd_priv *priv, int fifo_cnt)
 {
        int fifo[KBC_MAX_KPENT];
        int cnt = 0;
@@ -117,9 +118,9 @@ static void process_fifo(struct keyb *config, int fifo_cnt)
        /* Always call input_send_keycodes() at least once */
        do {
                if (fifo_cnt)
-                       cnt = tegra_kbc_find_keys(config, fifo, KBC_MAX_KPENT);
+                       cnt = tegra_kbc_find_keys(priv, fifo, KBC_MAX_KPENT);
 
-               input_send_keycodes(&config->input, fifo, cnt);
+               input_send_keycodes(priv->input, fifo, cnt);
        } while (--fifo_cnt > 0);
 }
 
@@ -127,24 +128,24 @@ static void process_fifo(struct keyb *config, int fifo_cnt)
  * Check the keyboard controller and emit ASCII characters for any keys that
  * are pressed.
  *
- * @param config       Keyboard config
+ * @param priv         Keyboard private data
  */
-static void check_for_keys(struct keyb *config)
+static void check_for_keys(struct tegra_kbd_priv *priv)
 {
        int fifo_cnt;
 
-       if (!config->first_scan &&
-                       get_timer(config->last_poll_ms) < KBC_REPEAT_RATE_MS)
+       if (!priv->first_scan &&
+           get_timer(priv->last_poll_ms) < KBC_REPEAT_RATE_MS)
                return;
-       config->last_poll_ms = get_timer(0);
-       config->first_scan = 0;
+       priv->last_poll_ms = get_timer(0);
+       priv->first_scan = 0;
 
        /*
         * Once we get here we know the keyboard has been scanned. So if there
         * scan waiting for us, we know that nothing is held down.
         */
-       fifo_cnt = (readl(&config->kbc->interrupt) >> 4) & 0xf;
-       process_fifo(config, fifo_cnt);
+       fifo_cnt = (readl(&priv->kbc->interrupt) >> 4) & 0xf;
+       process_fifo(priv, fifo_cnt);
 }
 
 /**
@@ -153,22 +154,22 @@ static void check_for_keys(struct keyb *config)
  * Wkup mode to Continous polling mode and the repoll time. We can
  * deduct the time that's already elapsed.
  *
- * @param config       Keyboard config
+ * @param priv         Keyboard private data
  */
-static void kbd_wait_for_fifo_init(struct keyb *config)
+static void kbd_wait_for_fifo_init(struct tegra_kbd_priv *priv)
 {
-       if (!config->inited) {
+       if (!priv->inited) {
                unsigned long elapsed_time;
                long delay_ms;
 
-               elapsed_time = get_timer(config->start_time_ms);
-               delay_ms = config->init_dly_ms - elapsed_time;
+               elapsed_time = get_timer(priv->start_time_ms);
+               delay_ms = priv->init_dly_ms - elapsed_time;
                if (delay_ms > 0) {
                        udelay(delay_ms * 1000);
                        debug("%s: delay %ldms\n", __func__, delay_ms);
                }
 
-               config->inited = 1;
+               priv->inited = 1;
        }
 }
 
@@ -183,38 +184,16 @@ static void kbd_wait_for_fifo_init(struct keyb *config)
  */
 static int tegra_kbc_check(struct input_config *input)
 {
-       kbd_wait_for_fifo_init(&config);
-       check_for_keys(&config);
+       struct tegra_kbd_priv *priv = dev_get_priv(input->dev);
 
-       return 1;
-}
+       kbd_wait_for_fifo_init(priv);
+       check_for_keys(priv);
 
-/**
- * Test if keys are available to be read
- *
- * @return 0 if no keys available, 1 if keys are available
- */
-static int kbd_tstc(struct stdio_dev *dev)
-{
-       /* Just get input to do this for us */
-       return input_tstc(&config.input);
-}
-
-/**
- * Read a key
- *
- * TODO: U-Boot wants 0 for no key, but Ctrl-@ is a valid key...
- *
- * @return ASCII key code, or 0 if no key, or -1 if error
- */
-static int kbd_getc(struct stdio_dev *dev)
-{
-       /* Just get input to do this for us */
-       return input_getc(&config.input);
+       return 1;
 }
 
 /* configures keyboard GPIO registers to use the rows and columns */
-static void config_kbc_gpio(struct kbc_tegra *kbc)
+static void config_kbc_gpio(struct tegra_kbd_priv *priv, struct kbc_tegra *kbc)
 {
        int i;
 
@@ -233,10 +212,10 @@ static void config_kbc_gpio(struct kbc_tegra *kbc)
                row_cfg &= ~r_mask;
                col_cfg &= ~c_mask;
 
-               if (i < config.matrix.num_rows) {
+               if (i < priv->matrix.num_rows) {
                        row_cfg |= ((i << 1) | 1) << r_shift;
                } else {
-                       col_cfg |= (((i - config.matrix.num_rows) << 1) | 1)
+                       col_cfg |= (((i - priv->matrix.num_rows) << 1) | 1)
                                        << c_shift;
                }
 
@@ -248,9 +227,9 @@ static void config_kbc_gpio(struct kbc_tegra *kbc)
 /**
  * Start up the keyboard device
  */
-static void tegra_kbc_open(void)
+static void tegra_kbc_open(struct tegra_kbd_priv *priv)
 {
-       struct kbc_tegra *kbc = config.kbc;
+       struct kbc_tegra *kbc = priv->kbc;
        unsigned int scan_period;
        u32 val;
 
@@ -265,16 +244,32 @@ static void tegra_kbc_open(void)
         * Before reading from the keyboard we must wait for the init_dly
         * plus the rpt_delay, plus 2ms for the row scan time.
         */
-       config.init_dly_ms = scan_period * 2 + 2;
+       priv->init_dly_ms = scan_period * 2 + 2;
 
        val = KBC_DEBOUNCE_COUNT << KBC_DEBOUNCE_CNT_SHIFT;
        val |= 1 << KBC_FIFO_TH_CNT_SHIFT;      /* fifo interrupt threshold */
        val |= KBC_CONTROL_KBC_EN;              /* enable */
        writel(val, &kbc->control);
 
-       config.start_time_ms = get_timer(0);
-       config.last_poll_ms = config.next_repeat_ms = get_timer(0);
-       config.first_scan = 1;
+       priv->start_time_ms = get_timer(0);
+       priv->last_poll_ms = get_timer(0);
+       priv->next_repeat_ms = priv->last_poll_ms;
+       priv->first_scan = 1;
+}
+
+static int tegra_kbd_start(struct udevice *dev)
+{
+       struct tegra_kbd_priv *priv = dev_get_priv(dev);
+
+       /* Set up pin mux and enable the clock */
+       funcmux_select(PERIPH_ID_KBC, FUNCMUX_DEFAULT);
+       clock_enable(PERIPH_ID_KBC);
+       config_kbc_gpio(priv, priv->kbc);
+
+       tegra_kbc_open(priv);
+       debug("%s: Tegra keyboard ready\n", __func__);
+
+       return 0;
 }
 
 /**
@@ -289,88 +284,73 @@ static void tegra_kbc_open(void)
  *
  * @return 0 if ok, -ve on error
  */
-static int init_tegra_keyboard(struct stdio_dev *dev)
+static int tegra_kbd_probe(struct udevice *dev)
 {
-       /* check if already created */
-       if (config.created)
-               return 0;
-
-#if CONFIG_IS_ENABLED(OF_CONTROL)
-       int     node;
-
-       node = fdtdec_next_compatible(gd->fdt_blob, 0,
-                                         COMPAT_NVIDIA_TEGRA20_KBC);
-       if (node < 0) {
-               debug("%s: cannot locate keyboard node\n", __func__);
-               return node;
-       }
-       config.kbc = (struct kbc_tegra *)fdtdec_get_addr(gd->fdt_blob,
-                      node, "reg");
-       if ((fdt_addr_t)config.kbc == FDT_ADDR_T_NONE) {
+       struct tegra_kbd_priv *priv = dev_get_priv(dev);
+       struct keyboard_priv *uc_priv = dev_get_uclass_priv(dev);
+       struct stdio_dev *sdev = &uc_priv->sdev;
+       struct input_config *input = &uc_priv->input;
+       int node = dev->of_offset;
+       int ret;
+
+       priv->kbc = (struct kbc_tegra *)dev_get_addr(dev);
+       if ((fdt_addr_t)priv->kbc == FDT_ADDR_T_NONE) {
                debug("%s: No keyboard register found\n", __func__);
-               return -1;
+               return -EINVAL;
        }
-       input_set_delays(&config.input, KBC_REPEAT_DELAY_MS,
-                       KBC_REPEAT_RATE_MS);
+       input_set_delays(input, KBC_REPEAT_DELAY_MS, KBC_REPEAT_RATE_MS);
 
        /* Decode the keyboard matrix information (16 rows, 8 columns) */
-       if (key_matrix_init(&config.matrix, 16, 8, 1)) {
-               debug("%s: Could not init key matrix\n", __func__);
-               return -1;
+       ret = key_matrix_init(&priv->matrix, 16, 8, 1);
+       if (ret) {
+               debug("%s: Could not init key matrix: %d\n", __func__, ret);
+               return ret;
        }
-       if (key_matrix_decode_fdt(&config.matrix, gd->fdt_blob, node)) {
-               debug("%s: Could not decode key matrix from fdt\n", __func__);
-               return -1;
+       ret = key_matrix_decode_fdt(&priv->matrix, gd->fdt_blob, node);
+       if (ret) {
+               debug("%s: Could not decode key matrix from fdt: %d\n",
+                     __func__, ret);
+               return ret;
        }
-       if (config.matrix.fn_keycode) {
-               if (input_add_table(&config.input, KEY_FN, -1,
-                                   config.matrix.fn_keycode,
-                                   config.matrix.key_count))
-                       return -1;
+       if (priv->matrix.fn_keycode) {
+               ret = input_add_table(input, KEY_FN, -1,
+                                     priv->matrix.fn_keycode,
+                                     priv->matrix.key_count);
+               if (ret) {
+                       debug("%s: input_add_table() failed\n", __func__);
+                       return ret;
+               }
        }
-#else
-#error "Tegra keyboard driver requires FDT definitions"
-#endif
 
-       /* Set up pin mux and enable the clock */
-       funcmux_select(PERIPH_ID_KBC, FUNCMUX_DEFAULT);
-       clock_enable(PERIPH_ID_KBC);
-       config_kbc_gpio(config.kbc);
-
-       tegra_kbc_open();
-       config.created = 1;
-       debug("%s: Tegra keyboard ready\n", __func__);
+       /* Register the device. init_tegra_keyboard() will be called soon */
+       priv->input = input;
+       input->dev = dev;
+       input->read_keys = tegra_kbc_check;
+       input_add_tables(input, false);
+       strcpy(sdev->name, "tegra-kbc");
+       ret = input_stdio_register(sdev);
+       if (ret) {
+               debug("%s: input_stdio_register() failed\n", __func__);
+               return ret;
+       }
 
        return 0;
 }
 
-int drv_keyboard_init(void)
-{
-       struct stdio_dev dev;
-       char *stdinname = getenv("stdin");
-       int error;
-
-       if (input_init(&config.input, 0)) {
-               debug("%s: Cannot set up input\n", __func__);
-               return -1;
-       }
-       config.input.read_keys = tegra_kbc_check;
+static const struct keyboard_ops tegra_kbd_ops = {
+       .start  = tegra_kbd_start,
+};
 
-       memset(&dev, '\0', sizeof(dev));
-       strcpy(dev.name, "tegra-kbc");
-       dev.flags = DEV_FLAGS_INPUT;
-       dev.getc = kbd_getc;
-       dev.tstc = kbd_tstc;
-       dev.start = init_tegra_keyboard;
+static const struct udevice_id tegra_kbd_ids[] = {
+       { .compatible = "nvidia,tegra20-kbc" },
+       { }
+};
 
-       /* Register the device. init_tegra_keyboard() will be called soon */
-       error = input_stdio_register(&dev);
-       if (error)
-               return error;
-#ifdef CONFIG_CONSOLE_MUX
-       error = iomux_doenv(stdin, stdinname);
-       if (error)
-               return error;
-#endif
-       return 0;
-}
+U_BOOT_DRIVER(tegra_kbd) = {
+       .name   = "tegra_kbd",
+       .id     = UCLASS_KEYBOARD,
+       .of_match = tegra_kbd_ids,
+       .probe = tegra_kbd_probe,
+       .ops    = &tegra_kbd_ops,
+       .priv_auto_alloc_size = sizeof(struct tegra_kbd_priv),
+};
index 5f85ccf21e9fcdd274bbcd0ade4cce496fd96935..127121e79716303476ca3180645feb1ff8045d6d 100644 (file)
@@ -16,7 +16,7 @@
  */
 
 #include <common.h>
-
+#include <console.h>
 #ifndef CONFIG_SYS_COREBOOT
 #error This driver requires coreboot
 #endif
index ba36795c6507f909b046b7e5c8fc5865935e9eaf..e3229efed0bb44529653b662765705949e852b13 100644 (file)
@@ -358,9 +358,11 @@ static int ec_command(struct cros_ec_dev *dev, uint8_t cmd, int cmd_version,
        return len;
 }
 
-int cros_ec_scan_keyboard(struct cros_ec_dev *dev, struct mbkp_keyscan *scan)
+int cros_ec_scan_keyboard(struct udevice *dev, struct mbkp_keyscan *scan)
 {
-       if (ec_command(dev, EC_CMD_MKBP_STATE, 0, NULL, 0, scan,
+       struct cros_ec_dev *cdev = dev_get_uclass_priv(dev);
+
+       if (ec_command(cdev, EC_CMD_MKBP_STATE, 0, NULL, 0, scan,
                       sizeof(scan->data)) != sizeof(scan->data))
                return -1;
 
@@ -549,13 +551,15 @@ int cros_ec_reboot(struct cros_ec_dev *dev, enum ec_reboot_cmd cmd,
        return 0;
 }
 
-int cros_ec_interrupt_pending(struct cros_ec_dev *dev)
+int cros_ec_interrupt_pending(struct udevice *dev)
 {
+       struct cros_ec_dev *cdev = dev_get_uclass_priv(dev);
+
        /* no interrupt support : always poll */
-       if (!dm_gpio_is_valid(&dev->ec_int))
+       if (!dm_gpio_is_valid(&cdev->ec_int))
                return -ENOENT;
 
-       return dm_gpio_get_value(&dev->ec_int);
+       return dm_gpio_get_value(&cdev->ec_int);
 }
 
 int cros_ec_info(struct cros_ec_dev *dev, struct ec_response_mkbp_info *info)
index 7509612fb8370d62482636deb86e82b22eb47e56..98f19a68bf6fb4b0650e69dcf06bcfebc2c349e8 100644 (file)
@@ -460,6 +460,7 @@ static int process_cmd(struct ec_state *ec,
                len = cros_ec_keyscan(ec, resp_data);
                break;
        case EC_CMD_ENTERING_MODE:
+               len = 0;
                break;
        default:
                printf("   ** Unknown EC command %#02x\n", req_hdr->command);
index c69f5d4e2d44ac52f90ca24251593f95ed660f55..e169b774932aa91e91cc95afcf6ef2ed578aaee7 100644 (file)
@@ -29,7 +29,7 @@ int zynq_sdhci_init(phys_addr_t regbase)
                       SDHCI_QUIRK_BROKEN_R1B;
        host->version = sdhci_readw(host, SDHCI_HOST_VERSION);
 
-       add_sdhci(host, 52000000, 52000000 >> 9);
+       add_sdhci(host, CONFIG_ZYNQ_SDHCI_MAX_FREQ, 52000000 >> 9);
        return 0;
 }
 
index e3cb59887ce096f2df8d1e16f8bad922e6132404..39932f46478eff7253720538443cc1279884a3af 100644 (file)
@@ -18,6 +18,7 @@
 /* #define DEBUG       */
 
 #include <common.h>
+#include <console.h>
 #include <dm.h>
 #include <errno.h>
 #include <fdt_support.h>
index 7e755e8965aa54d6957576cbbaf224783ce949a0..7c11868cd31368ab917fae94e1b74b7a85891598 100644 (file)
@@ -15,6 +15,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <asm/io.h>
 
 #ifdef DEBUG
index ff48b25f6f324eefaeaaa38d19c36fe8bcb236f7..cf4e7e1f42b542bccacdddcfdae172a09e8bdb8d 100644 (file)
@@ -20,4 +20,3 @@ obj-$(CONFIG_SPI_FLASH) += sf_ops.o sf_params.o
 obj-$(CONFIG_SPI_FLASH_DATAFLASH) += sf_dataflash.o
 obj-$(CONFIG_SPI_FLASH_MTD) += sf_mtd.o
 obj-$(CONFIG_SPI_FLASH_SANDBOX) += sandbox.o
-obj-$(CONFIG_SPI_M95XXX) += eeprom_m95xxx.o
diff --git a/drivers/mtd/spi/eeprom_m95xxx.c b/drivers/mtd/spi/eeprom_m95xxx.c
deleted file mode 100644 (file)
index a019939..0000000
+++ /dev/null
@@ -1,111 +0,0 @@
-/*
- * Copyright (C) 2009
- * Albin Tonnerre, Free Electrons <albin.tonnerre@free-electrons.com>
- *
- * SPDX-License-Identifier:    GPL-2.0+
- */
-
-#include <common.h>
-#include <spi.h>
-
-#define SPI_EEPROM_WREN                0x06
-#define SPI_EEPROM_RDSR                0x05
-#define SPI_EEPROM_READ                0x03
-#define SPI_EEPROM_WRITE       0x02
-
-#ifndef CONFIG_DEFAULT_SPI_BUS
-#define CONFIG_DEFAULT_SPI_BUS 0
-#endif
-
-#ifndef CONFIG_DEFAULT_SPI_MODE
-#define CONFIG_DEFAULT_SPI_MODE SPI_MODE_0
-#endif
-
-#ifndef CONFIG_SYS_SPI_WRITE_TOUT
-#define CONFIG_SYS_SPI_WRITE_TOUT (5 * CONFIG_SYS_HZ)
-#endif
-
-ssize_t spi_read(uchar *addr, int alen, uchar *buffer, int len)
-{
-       struct spi_slave *slave;
-       u8 cmd = SPI_EEPROM_READ;
-
-       slave = spi_setup_slave(CONFIG_DEFAULT_SPI_BUS, 1, 1000000,
-                       CONFIG_DEFAULT_SPI_MODE);
-       if (!slave)
-               return 0;
-
-       spi_claim_bus(slave);
-
-       /* command */
-       if (spi_xfer(slave, 8, &cmd, NULL, SPI_XFER_BEGIN))
-               return -1;
-
-       /*
-        * if alen == 3, addr[0] is the block number, we never use it here.
-        * All we need are the lower 16 bits.
-        */
-       if (alen == 3)
-               addr++;
-
-       /* address, and data */
-       if (spi_xfer(slave, 16, addr, NULL, 0))
-               return -1;
-       if (spi_xfer(slave, 8 * len, NULL, buffer, SPI_XFER_END))
-               return -1;
-
-       spi_release_bus(slave);
-       spi_free_slave(slave);
-       return len;
-}
-
-ssize_t spi_write(uchar *addr, int alen, uchar *buffer, int len)
-{
-       struct spi_slave *slave;
-       char buf[3];
-       ulong start;
-
-       slave = spi_setup_slave(CONFIG_DEFAULT_SPI_BUS, 1, 1000000,
-                       CONFIG_DEFAULT_SPI_MODE);
-       if (!slave)
-               return 0;
-
-       spi_claim_bus(slave);
-
-       buf[0] = SPI_EEPROM_WREN;
-       if (spi_xfer(slave, 8, buf, NULL, SPI_XFER_BEGIN | SPI_XFER_END))
-               return -1;
-
-       buf[0] = SPI_EEPROM_WRITE;
-
-       /* As for reading, drop addr[0] if alen is 3 */
-       if (alen == 3) {
-               alen--;
-               addr++;
-       }
-
-       memcpy(buf + 1, addr, alen);
-       /* command + addr, then data */
-       if (spi_xfer(slave, 24, buf, NULL, SPI_XFER_BEGIN))
-               return -1;
-       if (spi_xfer(slave, len * 8, buffer, NULL, SPI_XFER_END))
-               return -1;
-
-       start = get_timer(0);
-       do {
-               buf[0] = SPI_EEPROM_RDSR;
-               buf[1] = 0;
-               spi_xfer(slave, 16, buf, buf, SPI_XFER_BEGIN | SPI_XFER_END);
-
-               if (!(buf[1] & 1))
-                       break;
-
-       } while (get_timer(start) < CONFIG_SYS_SPI_WRITE_TOUT);
-
-       if (buf[1] & 1)
-               printf("*** spi_write: Timeout while writing!\n");
-
-       spi_release_bus(slave);
-       spi_free_slave(slave);
-       return len;
-}
index 350e21aa7d65fd81d21378136b2b197a1eefc0a4..72e0f6b3fb1e591124ae2bfc879ac3685d6405b5 100644 (file)
@@ -11,6 +11,8 @@
 #include <dm/device-internal.h>
 #include "sf_internal.h"
 
+DECLARE_GLOBAL_DATA_PTR;
+
 int spi_flash_read_dm(struct udevice *dev, u32 offset, size_t len, void *buf)
 {
        return sf_get_ops(dev)->read(dev, offset, len, buf);
@@ -72,8 +74,29 @@ int spi_flash_remove(struct udevice *dev)
        return device_remove(dev);
 }
 
+static int spi_flash_post_bind(struct udevice *dev)
+{
+#if defined(CONFIG_NEEDS_MANUAL_RELOC)
+       struct dm_spi_flash_ops *ops = sf_get_ops(dev);
+       static int reloc_done;
+
+       if (!reloc_done) {
+               if (ops->read)
+                       ops->read += gd->reloc_off;
+               if (ops->write)
+                       ops->write += gd->reloc_off;
+               if (ops->erase)
+                       ops->erase += gd->reloc_off;
+
+               reloc_done++;
+       }
+#endif
+       return 0;
+}
+
 UCLASS_DRIVER(spi_flash) = {
        .id             = UCLASS_SPI_FLASH,
        .name           = "spi_flash",
+       .post_bind      = spi_flash_post_bind,
        .per_device_auto_alloc_size = sizeof(struct spi_flash),
 };
index 8793f1865a39afbb79ad8f6e4f6720e61866f859..85c8a89ceef6bb6ee31081d0b04cf9b2b5514e13 100644 (file)
@@ -64,6 +64,7 @@ enum spi_nor_option_flags {
 #define SPI_FLASH_CFI_MFR_SPANSION     0x01
 #define SPI_FLASH_CFI_MFR_STMICRO      0x20
 #define SPI_FLASH_CFI_MFR_MACRONIX     0xc2
+#define SPI_FLASH_CFI_MFR_SST          0xbf
 #define SPI_FLASH_CFI_MFR_WINBOND      0xef
 
 /* Erase commands */
index 384224d75a74b6dc4b51ce3de32c86043fe9cb85..3a56d7f55c80d998397a0468b5d216443013e0fa 100644 (file)
@@ -583,7 +583,7 @@ int sst_write_bp(struct spi_flash *flash, u32 offset, size_t len,
 }
 #endif
 
-#ifdef CONFIG_SPI_FLASH_STMICRO
+#if defined(CONFIG_SPI_FLASH_STMICRO) || defined(CONFIG_SPI_FLASH_SST)
 static void stm_get_locked_range(struct spi_flash *flash, u8 sr, loff_t *ofs,
                                 u32 *len)
 {
@@ -663,8 +663,11 @@ int stm_lock(struct spi_flash *flash, u32 ofs, size_t len)
        u8 status_old, status_new;
        u8 mask = SR_BP2 | SR_BP1 | SR_BP0;
        u8 shift = ffs(mask) - 1, pow, val;
+       int ret;
 
-       spi_flash_cmd_read_status(flash, &status_old);
+       ret = spi_flash_cmd_read_status(flash, &status_old);
+       if (ret < 0)
+               return ret;
 
        /* SPI NOR always locks to the end */
        if (ofs + len != flash->size) {
@@ -714,8 +717,11 @@ int stm_unlock(struct spi_flash *flash, u32 ofs, size_t len)
        uint8_t status_old, status_new;
        u8 mask = SR_BP2 | SR_BP1 | SR_BP0;
        u8 shift = ffs(mask) - 1, pow, val;
+       int ret;
 
-       spi_flash_cmd_read_status(flash, &status_old);
+       ret = spi_flash_cmd_read_status(flash, &status_old);
+       if (ret < 0)
+               return ret;
 
        /* Cannot unlock; would unlock larger region than requested */
        if (stm_is_locked_sr(flash, status_old, ofs - flash->erase_size,
@@ -750,4 +756,4 @@ int stm_unlock(struct spi_flash *flash, u32 ofs, size_t len)
 
        return 0;
 }
-#endif  /* CONFIG_SPI_FLASH_STMICRO */
+#endif
index bc05d3022119cca4f480f26e631414f9d4be9b78..a619182a75e7d7fc45637ea3686ef6b205489390 100644 (file)
@@ -164,14 +164,15 @@ static int spi_flash_validate_params(struct spi_slave *spi, u8 *idcode,
        flash->memory_map = spi->memory_map;
        flash->dual_flash = flash->spi->option;
 
+       /* Assign spi flash flags */
+       if (params->flags & SST_WR)
+               flash->flags |= SNOR_F_SST_WR;
+
        /* Assign spi_flash ops */
 #ifndef CONFIG_DM_SPI_FLASH
        flash->write = spi_flash_cmd_write_ops;
 #if defined(CONFIG_SPI_FLASH_SST)
-       if (params->flags & SST_WR)
-               flash->flags |= SNOR_F_SST_WR;
-
-       if (params->flags & SNOR_F_SST_WR) {
+       if (flash->flags & SNOR_F_SST_WR) {
                if (flash->spi->op_mode_tx & SPI_OPM_TX_BP)
                        flash->write = sst_write_bp;
                else
@@ -184,8 +185,9 @@ static int spi_flash_validate_params(struct spi_slave *spi, u8 *idcode,
 
        /* lock hooks are flash specific - assign them based on idcode0 */
        switch (idcode[0]) {
-#ifdef CONFIG_SPI_FLASH_STMICRO
+#if defined(CONFIG_SPI_FLASH_STMICRO) || defined(CONFIG_SPI_FLASH_SST)
        case SPI_FLASH_CFI_MFR_STMICRO:
+       case SPI_FLASH_CFI_MFR_SST:
                flash->flash_lock = stm_lock;
                flash->flash_unlock = stm_unlock;
                flash->flash_is_locked = stm_is_locked;
index e7f68263a47352c1e169e5387f0f6b055e85ce97..df72375238f5814e873d1a69b6389c61ac03c625 100644 (file)
@@ -1,4 +1,5 @@
 #include <common.h>
+#include <console.h>
 #include "e1000.h"
 #include <linux/compiler.h>
 
index 5ed29ae9171c1d737c7975c7f28c1ef40932a390..24ca52e2dfb950c5e6562b8313d8fbb6a12870a0 100644 (file)
@@ -8,6 +8,7 @@
  */
 #include <common.h>
 #include <command.h>
+#include <console.h>
 
 #include <net.h>
 #include <phy.h>
index d096db87a2766a6c02c2bbb984071f71533f17e3..9e4d4927e676a3fa9a48c156838e048260c511dc 100644 (file)
@@ -24,4 +24,5 @@ obj-$(CONFIG_PHY_NATSEMI) += natsemi.o
 obj-$(CONFIG_PHY_REALTEK) += realtek.o
 obj-$(CONFIG_PHY_SMSC) += smsc.o
 obj-$(CONFIG_PHY_TERANETICS) += teranetics.o
+obj-$(CONFIG_PHY_TI) += ti.o
 obj-$(CONFIG_PHY_VITESSE) += vitesse.o
index d7364ffc34f2f1774b38153600698451de549d68..51b5746a5a49739c2b71e0a87e115b644f98b6e7 100644 (file)
@@ -11,6 +11,7 @@
 
 #include <config.h>
 #include <common.h>
+#include <console.h>
 #include <dm.h>
 #include <malloc.h>
 #include <net.h>
@@ -484,6 +485,9 @@ int phy_init(void)
 #ifdef CONFIG_PHY_TERANETICS
        phy_teranetics_init();
 #endif
+#ifdef CONFIG_PHY_TI
+       phy_ti_init();
+#endif
 #ifdef CONFIG_PHY_VITESSE
        phy_vitesse_init();
 #endif
diff --git a/drivers/net/phy/ti.c b/drivers/net/phy/ti.c
new file mode 100644 (file)
index 0000000..541a57f
--- /dev/null
@@ -0,0 +1,200 @@
+/*
+ * TI PHY drivers
+ *
+ * SPDX-License-Identifier:    GPL-2.0
+ *
+ */
+#include <common.h>
+#include <phy.h>
+
+/* TI DP83867 */
+#define DP83867_DEVADDR                0x1f
+
+#define MII_DP83867_PHYCTRL    0x10
+#define MII_DP83867_MICR       0x12
+#define DP83867_CTRL           0x1f
+
+/* Extended Registers */
+#define DP83867_RGMIICTL       0x0032
+#define DP83867_RGMIIDCTL      0x0086
+
+#define DP83867_SW_RESET       BIT(15)
+#define DP83867_SW_RESTART     BIT(14)
+
+/* MICR Interrupt bits */
+#define MII_DP83867_MICR_AN_ERR_INT_EN         BIT(15)
+#define MII_DP83867_MICR_SPEED_CHNG_INT_EN     BIT(14)
+#define MII_DP83867_MICR_DUP_MODE_CHNG_INT_EN  BIT(13)
+#define MII_DP83867_MICR_PAGE_RXD_INT_EN       BIT(12)
+#define MII_DP83867_MICR_AUTONEG_COMP_INT_EN   BIT(11)
+#define MII_DP83867_MICR_LINK_STS_CHNG_INT_EN  BIT(10)
+#define MII_DP83867_MICR_FALSE_CARRIER_INT_EN  BIT(8)
+#define MII_DP83867_MICR_SLEEP_MODE_CHNG_INT_EN        BIT(4)
+#define MII_DP83867_MICR_WOL_INT_EN            BIT(3)
+#define MII_DP83867_MICR_XGMII_ERR_INT_EN      BIT(2)
+#define MII_DP83867_MICR_POL_CHNG_INT_EN       BIT(1)
+#define MII_DP83867_MICR_JABBER_INT_EN         BIT(0)
+
+/* RGMIICTL bits */
+#define DP83867_RGMII_TX_CLK_DELAY_EN          BIT(1)
+#define DP83867_RGMII_RX_CLK_DELAY_EN          BIT(0)
+
+/* PHY CTRL bits */
+#define DP83867_PHYCR_FIFO_DEPTH_SHIFT         14
+
+/* RGMIIDCTL bits */
+#define DP83867_RGMII_TX_CLK_DELAY_SHIFT       4
+
+#define MII_MMD_CTRL   0x0d /* MMD Access Control Register */
+#define MII_MMD_DATA   0x0e /* MMD Access Data Register */
+
+/* MMD Access Control register fields */
+#define MII_MMD_CTRL_DEVAD_MASK        0x1f /* Mask MMD DEVAD*/
+#define MII_MMD_CTRL_ADDR      0x0000 /* Address */
+#define MII_MMD_CTRL_NOINCR    0x4000 /* no post increment */
+#define MII_MMD_CTRL_INCR_RDWT 0x8000 /* post increment on reads & writes */
+#define MII_MMD_CTRL_INCR_ON_WT        0xC000 /* post increment on writes only */
+
+/**
+ * phy_read_mmd_indirect - reads data from the MMD registers
+ * @phydev: The PHY device bus
+ * @prtad: MMD Address
+ * @devad: MMD DEVAD
+ * @addr: PHY address on the MII bus
+ *
+ * Description: it reads data from the MMD registers (clause 22 to access to
+ * clause 45) of the specified phy address.
+ * To read these registers we have:
+ * 1) Write reg 13 // DEVAD
+ * 2) Write reg 14 // MMD Address
+ * 3) Write reg 13 // MMD Data Command for MMD DEVAD
+ * 3) Read  reg 14 // Read MMD data
+ */
+int phy_read_mmd_indirect(struct phy_device *phydev, int prtad,
+                         int devad, int addr)
+{
+       int value = -1;
+
+       /* Write the desired MMD Devad */
+       phy_write(phydev, addr, MII_MMD_CTRL, devad);
+
+       /* Write the desired MMD register address */
+       phy_write(phydev, addr, MII_MMD_DATA, prtad);
+
+       /* Select the Function : DATA with no post increment */
+       phy_write(phydev, addr, MII_MMD_CTRL, (devad | MII_MMD_CTRL_NOINCR));
+
+       /* Read the content of the MMD's selected register */
+       value = phy_read(phydev, addr, MII_MMD_DATA);
+       return value;
+}
+
+/**
+ * phy_write_mmd_indirect - writes data to the MMD registers
+ * @phydev: The PHY device
+ * @prtad: MMD Address
+ * @devad: MMD DEVAD
+ * @addr: PHY address on the MII bus
+ * @data: data to write in the MMD register
+ *
+ * Description: Write data from the MMD registers of the specified
+ * phy address.
+ * To write these registers we have:
+ * 1) Write reg 13 // DEVAD
+ * 2) Write reg 14 // MMD Address
+ * 3) Write reg 13 // MMD Data Command for MMD DEVAD
+ * 3) Write reg 14 // Write MMD data
+ */
+void phy_write_mmd_indirect(struct phy_device *phydev, int prtad,
+                           int devad, int addr, u32 data)
+{
+       /* Write the desired MMD Devad */
+       phy_write(phydev, addr, MII_MMD_CTRL, devad);
+
+       /* Write the desired MMD register address */
+       phy_write(phydev, addr, MII_MMD_DATA, prtad);
+
+       /* Select the Function : DATA with no post increment */
+       phy_write(phydev, addr, MII_MMD_CTRL, (devad | MII_MMD_CTRL_NOINCR));
+
+       /* Write the data into MMD's selected register */
+       phy_write(phydev, addr, MII_MMD_DATA, data);
+}
+
+/**
+ * phy_interface_is_rgmii - Convenience function for testing if a PHY interface
+ * is RGMII (all variants)
+ * @phydev: the phy_device struct
+ */
+static inline bool phy_interface_is_rgmii(struct phy_device *phydev)
+{
+       return phydev->interface >= PHY_INTERFACE_MODE_RGMII &&
+               phydev->interface <= PHY_INTERFACE_MODE_RGMII_TXID;
+}
+
+/* User setting - can be taken from DTS */
+#define RX_ID_DELAY    8
+#define TX_ID_DELAY    0xa
+#define FIFO_DEPTH     1
+
+static int dp83867_config(struct phy_device *phydev)
+{
+       unsigned int val, delay;
+       int ret;
+
+       /* Restart the PHY.  */
+       val = phy_read(phydev, MDIO_DEVAD_NONE, DP83867_CTRL);
+       phy_write(phydev, MDIO_DEVAD_NONE, DP83867_CTRL,
+                 val | DP83867_SW_RESTART);
+
+       if (phy_interface_is_rgmii(phydev)) {
+               ret = phy_write(phydev, MDIO_DEVAD_NONE, MII_DP83867_PHYCTRL,
+                       (FIFO_DEPTH << DP83867_PHYCR_FIFO_DEPTH_SHIFT));
+               if (ret)
+                       return ret;
+       }
+
+       if ((phydev->interface >= PHY_INTERFACE_MODE_RGMII_ID) &&
+           (phydev->interface <= PHY_INTERFACE_MODE_RGMII_RXID)) {
+               val = phy_read_mmd_indirect(phydev, DP83867_RGMIICTL,
+                                           DP83867_DEVADDR, phydev->addr);
+
+               if (phydev->interface == PHY_INTERFACE_MODE_RGMII_ID)
+                       val |= (DP83867_RGMII_TX_CLK_DELAY_EN |
+                               DP83867_RGMII_RX_CLK_DELAY_EN);
+
+               if (phydev->interface == PHY_INTERFACE_MODE_RGMII_TXID)
+                       val |= DP83867_RGMII_TX_CLK_DELAY_EN;
+
+               if (phydev->interface == PHY_INTERFACE_MODE_RGMII_RXID)
+                       val |= DP83867_RGMII_RX_CLK_DELAY_EN;
+
+               phy_write_mmd_indirect(phydev, DP83867_RGMIICTL,
+                                      DP83867_DEVADDR, phydev->addr, val);
+
+               delay = (RX_ID_DELAY |
+                        (TX_ID_DELAY << DP83867_RGMII_TX_CLK_DELAY_SHIFT));
+
+               phy_write_mmd_indirect(phydev, DP83867_RGMIIDCTL,
+                                      DP83867_DEVADDR, phydev->addr, delay);
+       }
+
+       genphy_config_aneg(phydev);
+       return 0;
+}
+
+static struct phy_driver DP83867_driver = {
+       .name = "TI DP83867",
+       .uid = 0x2000a231,
+       .mask = 0xfffffff0,
+       .features = PHY_GBIT_FEATURES,
+       .config = &dp83867_config,
+       .startup = &genphy_startup,
+       .shutdown = &genphy_shutdown,
+};
+
+int phy_ti_init(void)
+{
+       phy_register(&DP83867_driver);
+       return 0;
+}
index a5110e516dbeb47d52382ec6b91cdad91f4b71dd..c6d6dce4ae99e1e6648f2d9f2622109b3d8544ff 100644 (file)
@@ -14,6 +14,7 @@
 
 #include <config.h>
 #include <common.h>
+#include <console.h>
 #include <asm/io.h>
 #include <asm/errno.h>
 #include "vsc7385.h"
index 5637a0d083ce9e42bf0c86016bdd65858e5e9f2d..858093f0d7e236a417ebac4b8ad94a2be89cde30 100644 (file)
@@ -23,6 +23,7 @@
 #include <asm/system.h>
 #include <asm/arch/hardware.h>
 #include <asm/arch/sys_proto.h>
+#include <asm-generic/errno.h>
 
 #if !defined(CONFIG_PHYLIB)
 # error XILINX_GEM_ETHERNET requires PHYLIB
@@ -46,6 +47,7 @@
 /* Wrap bit, last descriptor */
 #define ZYNQ_GEM_TXBUF_WRAP_MASK       0x40000000
 #define ZYNQ_GEM_TXBUF_LAST_MASK       0x00008000 /* Last buffer */
+#define ZYNQ_GEM_TXBUF_USED_MASK       0x80000000 /* Used by Hw */
 
 #define ZYNQ_GEM_NWCTRL_TXEN_MASK      0x00000008 /* Enable transmit */
 #define ZYNQ_GEM_NWCTRL_RXEN_MASK      0x00000004 /* Enable receive */
@@ -56,8 +58,7 @@
 #define ZYNQ_GEM_NWCFG_SPEED1000       0x000000400 /* 1Gbps operation */
 #define ZYNQ_GEM_NWCFG_FDEN            0x000000002 /* Full Duplex mode */
 #define ZYNQ_GEM_NWCFG_FSREM           0x000020000 /* FCS removal */
-#define ZYNQ_GEM_NWCFG_MDCCLKDIV       0x000080000 /* Div pclk by 32, 80MHz */
-#define ZYNQ_GEM_NWCFG_MDCCLKDIV2      0x0000c0000 /* Div pclk by 48, 120MHz */
+#define ZYNQ_GEM_NWCFG_MDCCLKDIV       0x0000c0000 /* Div pclk by 48, max 120MHz */
 
 #ifdef CONFIG_ARM64
 # define ZYNQ_GEM_DBUS_WIDTH   (1 << 21) /* 64 bit bus */
@@ -85,6 +86,8 @@
                                        ZYNQ_GEM_DMACR_TXSIZE | \
                                        ZYNQ_GEM_DMACR_RXBUF)
 
+#define ZYNQ_GEM_TSR_DONE              0x00000020 /* Tx done mask */
+
 /* Use MII register 1 (MII status register) to detect PHY */
 #define PHY_DETECT_REG  1
 
 
 /* Device registers */
 struct zynq_gem_regs {
-       u32 nwctrl; /* Network Control reg */
-       u32 nwcfg; /* Network Config reg */
-       u32 nwsr; /* Network Status reg */
+       u32 nwctrl; /* 0x0 - Network Control reg */
+       u32 nwcfg; /* 0x4 - Network Config reg */
+       u32 nwsr; /* 0x8 - Network Status reg */
        u32 reserved1;
-       u32 dmacr; /* DMA Control reg */
-       u32 txsr; /* TX Status reg */
-       u32 rxqbase; /* RX Q Base address reg */
-       u32 txqbase; /* TX Q Base address reg */
-       u32 rxsr; /* RX Status reg */
+       u32 dmacr; /* 0x10 - DMA Control reg */
+       u32 txsr; /* 0x14 - TX Status reg */
+       u32 rxqbase; /* 0x18 - RX Q Base address reg */
+       u32 txqbase; /* 0x1c - TX Q Base address reg */
+       u32 rxsr; /* 0x20 - RX Status reg */
        u32 reserved2[2];
-       u32 idr; /* Interrupt Disable reg */
+       u32 idr; /* 0x2c - Interrupt Disable reg */
        u32 reserved3;
-       u32 phymntnc; /* Phy Maintaince reg */
+       u32 phymntnc; /* 0x34 - Phy Maintaince reg */
        u32 reserved4[18];
-       u32 hashl; /* Hash Low address reg */
-       u32 hashh; /* Hash High address reg */
+       u32 hashl; /* 0x80 - Hash Low address reg */
+       u32 hashh; /* 0x84 - Hash High address reg */
 #define LADDR_LOW      0
 #define LADDR_HIGH     1
-       u32 laddr[4][LADDR_HIGH + 1]; /* Specific1 addr low/high reg */
-       u32 match[4]; /* Type ID1 Match reg */
+       u32 laddr[4][LADDR_HIGH + 1]; /* 0x8c - Specific1 addr low/high reg */
+       u32 match[4]; /* 0xa8 - Type ID1 Match reg */
        u32 reserved6[18];
-       u32 stat[44]; /* Octects transmitted Low reg - stat start */
+#define STAT_SIZE      44
+       u32 stat[STAT_SIZE]; /* 0x100 - Octects transmitted Low reg */
+       u32 reserved7[164];
+       u32 transmit_q1_ptr; /* 0x440 - Transmit priority queue 1 */
+       u32 reserved8[15];
+       u32 receive_q1_ptr; /* 0x480 - Receive priority queue 1 */
 };
 
 /* BD descriptors */
@@ -144,7 +152,10 @@ struct emac_bd {
  */
 #define BD_SPACE       0x100000
 /* BD separation space */
-#define BD_SEPRN_SPACE 64
+#define BD_SEPRN_SPACE (RX_BUF * sizeof(struct emac_bd))
+
+/* Setup the first free TX descriptor */
+#define TX_FREE_DESC   2
 
 /* Initialized, rxbd_current, rx_first_buf must be 0 after init */
 struct zynq_gem_priv {
@@ -156,6 +167,7 @@ struct zynq_gem_priv {
        int phyaddr;
        u32 emio;
        int init;
+       phy_interface_t interface;
        struct phy_device *phydev;
        struct mii_dev *bus;
 };
@@ -208,12 +220,23 @@ static u32 phy_setup_op(struct eth_device *dev, u32 phy_addr, u32 regnum,
 
 static u32 phyread(struct eth_device *dev, u32 phy_addr, u32 regnum, u16 *val)
 {
-       return phy_setup_op(dev, phy_addr, regnum,
+       u32 ret;
+
+       ret = phy_setup_op(dev, phy_addr, regnum,
                                ZYNQ_GEM_PHYMNTNC_OP_R_MASK, val);
+
+       if (!ret)
+               debug("%s: phy_addr %d, regnum 0x%x, val 0x%x\n", __func__,
+                     phy_addr, regnum, *val);
+
+       return ret;
 }
 
 static u32 phywrite(struct eth_device *dev, u32 phy_addr, u32 regnum, u16 data)
 {
+       debug("%s: phy_addr %d, regnum 0x%x, data 0x%x\n", __func__, phy_addr,
+             regnum, data);
+
        return phy_setup_op(dev, phy_addr, regnum,
                                ZYNQ_GEM_PHYMNTNC_OP_W_MASK, &data);
 }
@@ -289,10 +312,10 @@ static int zynq_gem_init(struct eth_device *dev, bd_t * bis)
        u32 i;
        unsigned long clk_rate = 0;
        struct phy_device *phydev;
-       const u32 stat_size = (sizeof(struct zynq_gem_regs) -
-                               offsetof(struct zynq_gem_regs, stat)) / 4;
        struct zynq_gem_regs *regs = (struct zynq_gem_regs *)dev->iobase;
        struct zynq_gem_priv *priv = dev->priv;
+       struct emac_bd *dummy_tx_bd = &priv->tx_bd[TX_FREE_DESC];
+       struct emac_bd *dummy_rx_bd = &priv->tx_bd[TX_FREE_DESC + 2];
        const u32 supported = SUPPORTED_10baseT_Half |
                        SUPPORTED_10baseT_Full |
                        SUPPORTED_100baseT_Half |
@@ -318,7 +341,7 @@ static int zynq_gem_init(struct eth_device *dev, bd_t * bis)
                writel(0x0, &regs->hashh);
 
                /* Clear all counters */
-               for (i = 0; i <= stat_size; i++)
+               for (i = 0; i < STAT_SIZE; i++)
                        readl(&regs->stat[i]);
 
                /* Setup RxBD space */
@@ -341,6 +364,23 @@ static int zynq_gem_init(struct eth_device *dev, bd_t * bis)
                /* Setup for Network Control register, MDIO, Rx and Tx enable */
                setbits_le32(&regs->nwctrl, ZYNQ_GEM_NWCTRL_MDEN_MASK);
 
+               /* Disable the second priority queue */
+               dummy_tx_bd->addr = 0;
+               dummy_tx_bd->status = ZYNQ_GEM_TXBUF_WRAP_MASK |
+                               ZYNQ_GEM_TXBUF_LAST_MASK|
+                               ZYNQ_GEM_TXBUF_USED_MASK;
+
+               dummy_rx_bd->addr = ZYNQ_GEM_RXBUF_WRAP_MASK |
+                               ZYNQ_GEM_RXBUF_NEW_MASK;
+               dummy_rx_bd->status = 0;
+               flush_dcache_range((ulong)&dummy_tx_bd, (ulong)&dummy_tx_bd +
+                                  sizeof(dummy_tx_bd));
+               flush_dcache_range((ulong)&dummy_rx_bd, (ulong)&dummy_rx_bd +
+                                  sizeof(dummy_rx_bd));
+
+               writel((ulong)dummy_tx_bd, &regs->transmit_q1_ptr);
+               writel((ulong)dummy_rx_bd, &regs->receive_q1_ptr);
+
                priv->init++;
        }
 
@@ -348,7 +388,7 @@ static int zynq_gem_init(struct eth_device *dev, bd_t * bis)
 
        /* interface - look at tsec */
        phydev = phy_connect(priv->bus, priv->phyaddr, dev,
-                            PHY_INTERFACE_MODE_MII);
+                            priv->interface);
 
        phydev->supported = supported | ADVERTISED_Pause |
                            ADVERTISED_Asym_Pause;
@@ -369,8 +409,8 @@ static int zynq_gem_init(struct eth_device *dev, bd_t * bis)
                clk_rate = ZYNQ_GEM_FREQUENCY_1000;
                break;
        case SPEED_100:
-               clrsetbits_le32(&regs->nwcfg, ZYNQ_GEM_NWCFG_SPEED1000,
-                               ZYNQ_GEM_NWCFG_INIT | ZYNQ_GEM_NWCFG_SPEED100);
+               writel(ZYNQ_GEM_NWCFG_INIT | ZYNQ_GEM_NWCFG_SPEED100,
+                      &regs->nwcfg);
                clk_rate = ZYNQ_GEM_FREQUENCY_100;
                break;
        case SPEED_10:
@@ -389,22 +429,54 @@ static int zynq_gem_init(struct eth_device *dev, bd_t * bis)
        return 0;
 }
 
+static int wait_for_bit(const char *func, u32 *reg, const u32 mask,
+                       bool set, unsigned int timeout)
+{
+       u32 val;
+       unsigned long start = get_timer(0);
+
+       while (1) {
+               val = readl(reg);
+
+               if (!set)
+                       val = ~val;
+
+               if ((val & mask) == mask)
+                       return 0;
+
+               if (get_timer(start) > timeout)
+                       break;
+
+               udelay(1);
+       }
+
+       debug("%s: Timeout (reg=%p mask=%08x wait_set=%i)\n",
+             func, reg, mask, set);
+
+       return -ETIMEDOUT;
+}
+
 static int zynq_gem_send(struct eth_device *dev, void *ptr, int len)
 {
        u32 addr, size;
        struct zynq_gem_priv *priv = dev->priv;
        struct zynq_gem_regs *regs = (struct zynq_gem_regs *)dev->iobase;
-
-       /* setup BD */
-       writel((ulong)priv->tx_bd, &regs->txqbase);
+       struct emac_bd *current_bd = &priv->tx_bd[1];
 
        /* Setup Tx BD */
        memset(priv->tx_bd, 0, sizeof(struct emac_bd));
 
        priv->tx_bd->addr = (ulong)ptr;
        priv->tx_bd->status = (len & ZYNQ_GEM_TXBUF_FRMLEN_MASK) |
-                              ZYNQ_GEM_TXBUF_LAST_MASK |
-                              ZYNQ_GEM_TXBUF_WRAP_MASK;
+                              ZYNQ_GEM_TXBUF_LAST_MASK;
+       /* Dummy descriptor to mark it as the last in descriptor chain */
+       current_bd->addr = 0x0;
+       current_bd->status = ZYNQ_GEM_TXBUF_WRAP_MASK |
+                            ZYNQ_GEM_TXBUF_LAST_MASK|
+                            ZYNQ_GEM_TXBUF_USED_MASK;
+
+       /* setup BD */
+       writel((ulong)priv->tx_bd, &regs->txqbase);
 
        addr = (ulong) ptr;
        addr &= ~(ARCH_DMA_MINALIGN - 1);
@@ -421,12 +493,11 @@ static int zynq_gem_send(struct eth_device *dev, void *ptr, int len)
        setbits_le32(&regs->nwctrl, ZYNQ_GEM_NWCTRL_STARTTX_MASK);
 
        /* Read TX BD status */
-       if (priv->tx_bd->status & ZYNQ_GEM_TXBUF_UNDERRUN)
-               printf("TX underrun\n");
        if (priv->tx_bd->status & ZYNQ_GEM_TXBUF_EXHAUSTED)
                printf("TX buffers exhausted in mid frame\n");
 
-       return 0;
+       return wait_for_bit(__func__, &regs->txsr, ZYNQ_GEM_TSR_DONE,
+                           true, 20000);
 }
 
 /* Do not check frame_recd flag in rx_status register 0x20 - just poll BD */
@@ -535,6 +606,12 @@ int zynq_gem_initialize(bd_t *bis, phys_addr_t base_addr,
        priv->phyaddr = phy_addr;
        priv->emio = emio;
 
+#ifndef CONFIG_ZYNQ_GEM_INTERFACE
+       priv->interface = PHY_INTERFACE_MODE_MII;
+#else
+       priv->interface = CONFIG_ZYNQ_GEM_INTERFACE;
+#endif
+
        sprintf(dev->name, "Gem.%lx", base_addr);
 
        dev->iobase = base_addr;
index a64792f988177dda4bc3ef2dab25937ffedc6ee0..2a149022e2f59d4aadb0dd2217bf2a14c4f70cf1 100644 (file)
@@ -268,7 +268,7 @@ int __pci_hose_phys_to_bus(struct pci_controller *hose,
                bus_addr = phys_addr - res->phys_start + res->bus_start;
 
                if (bus_addr >= res->bus_start &&
-                   bus_addr < res->bus_start + res->size) {
+                   (bus_addr - res->bus_start) < res->size) {
                        *ba = bus_addr;
                        return 0;
                }
index 809f8f1180288c75210ef4a40bb4f2b854b5ef77..1936e5f1fcee72bb414cfb51b4d93ba045c289a8 100644 (file)
@@ -8,7 +8,8 @@ choice
        prompt "Select Sunxi PMIC Variant"
        depends on ARCH_SUNXI
        default AXP209_POWER if MACH_SUN4I || MACH_SUN5I || MACH_SUN7I
-       default AXP221_POWER if MACH_SUN6I || MACH_SUN8I
+       default AXP221_POWER if MACH_SUN6I || MACH_SUN8I_A23 || MACH_SUN8I_A33
+       default SUNXI_NO_PMIC if MACH_SUN8I_H3
 
 config SUNXI_NO_PMIC
        boolean "board without a pmic"
@@ -31,7 +32,7 @@ config AXP209_POWER
 
 config AXP221_POWER
        boolean "axp221 / axp223 pmic support"
-       depends on MACH_SUN6I || MACH_SUN8I
+       depends on MACH_SUN6I || MACH_SUN8I_A23 || MACH_SUN8I_A33
        ---help---
        Select this to enable support for the axp221/axp223 pmic found on most
        A23 and A31 boards.
index bfde6921764919e42348b051c35ab4a7e3ec19fb..089e789b6200f8612a6c09e23ee22254a7a12798 100644 (file)
@@ -6,6 +6,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <power/pmic.h>
 #include <power/battery.h>
 #include <power/max8997_pmic.h>
index eba96f4a80d328b05cd2b6332b4550b176ca3061..82ad90d9e89325c0ce0ba0aec5701f4383d7054c 100644 (file)
@@ -53,6 +53,7 @@ config DEBUG_UART
 choice
        prompt "Select which UART will provide the debug UART"
        depends on DEBUG_UART
+       default DEBUG_UART_NS16550
 
 config DEBUG_UART_ALTERA_JTAGUART
        bool "Altera JTAG UART"
@@ -185,14 +186,15 @@ config ALTERA_UART
          Select this to enable an UART for Altera devices. Please find
          details on the "Embedded Peripherals IP User Guide" of Altera.
 
-config ROCKCHIP_SERIAL
-       bool "Rockchip on-chip UART support"
-       depends on ARCH_ROCKCHIP && DM_SERIAL
+config SYS_NS16550
+       bool "NS16550 UART or compatible"
        help
-         Select this to enable a debug UART for Rockchip devices. This uses
-         the ns16550 driver. You will need to #define CONFIG_SYS_NS16550 in
-         your board config header. The clock input is automatically set to
-         use the oscillator (24MHz).
+         Support NS16550 UART or compatible. This can be enabled in the
+         device tree with the correct input clock frequency. If the input
+         clock frequency is not defined in the device tree, the macro
+         CONFIG_SYS_NS16550_CLK defined in a legacy board header file will
+         be used. It can be a constant or a function to get clock, eg,
+         get_serial_clock().
 
 config SANDBOX_SERIAL
        bool "Sandbox UART support"
@@ -221,14 +223,4 @@ config UNIPHIER_SERIAL
          If you have a UniPhier based board and want to use the on-chip
          serial ports, say Y to this option. If unsure, say N.
 
-config X86_SERIAL
-       bool "Support for 16550 serial port on x86 machines"
-       depends on X86
-       default y
-       help
-         Most x86 machines have a ns16550 UART or compatible. This can be
-         enabled in the device tree with the correct input clock frequency
-         provided (default 1843200). Enable this to obtain serial console
-         output.
-
 endmenu
index 1818c7c3a67be977c0086bfc3fe2f5c40296de70..dd871478ea9fccc911d9ddc717cdf9ab98b63fd3 100644 (file)
@@ -8,7 +8,6 @@
 ifdef CONFIG_DM_SERIAL
 obj-y += serial-uclass.o
 obj-$(CONFIG_PL01X_SERIAL) += serial_pl01x.o
-obj-$(CONFIG_PPC) += serial_ppc.o
 else
 obj-y += serial.o
 obj-$(CONFIG_PL010_SERIAL) += serial_pl01x.o
@@ -20,12 +19,10 @@ obj-$(CONFIG_ALTERA_UART) += altera_uart.o
 obj-$(CONFIG_ALTERA_JTAG_UART) += altera_jtag_uart.o
 obj-$(CONFIG_ARM_DCC) += arm_dcc.o
 obj-$(CONFIG_ATMEL_USART) += atmel_usart.o
-obj-$(CONFIG_DW_SERIAL) += serial_dw.o
 obj-$(CONFIG_EFI_APP) += serial_efi.o
 obj-$(CONFIG_LPC32XX_HSUART) += lpc32xx_hsuart.o
 obj-$(CONFIG_MCFUART) += mcfuart.o
 obj-$(CONFIG_OPENCORES_YANU) += opencores_yanu.o
-obj-$(CONFIG_KEYSTONE_SERIAL) += serial_keystone.o
 obj-$(CONFIG_SYS_NS16550) += ns16550.o
 obj-$(CONFIG_S5P) += serial_s5p.o
 obj-$(CONFIG_IMX_SERIAL) += serial_imx.o
@@ -41,12 +38,8 @@ obj-$(CONFIG_ZYNQ_SERIAL) += serial_zynq.o
 obj-$(CONFIG_BFIN_SERIAL) += serial_bfin.o
 obj-$(CONFIG_FSL_LPUART) += serial_lpuart.o
 obj-$(CONFIG_MXS_AUART) += mxs_auart.o
-obj-$(CONFIG_ROCKCHIP_SERIAL) += serial_rockchip.o
 obj-$(CONFIG_ARC_SERIAL) += serial_arc.o
-obj-$(CONFIG_TEGRA_SERIAL) += serial_tegra.o
 obj-$(CONFIG_UNIPHIER_SERIAL) += serial_uniphier.o
-obj-$(CONFIG_OMAP_SERIAL) += serial_omap.o
-obj-$(CONFIG_X86_SERIAL) += serial_x86.o
 obj-$(CONFIG_STM32_SERIAL) += serial_stm32.o
 
 ifndef CONFIG_SPL_BUILD
index 6433844f1800e114116905e57d9ca18b22ba98b2..d5bcbc3e3cfeddb3155a79bf3f653aced94dcf42 100644 (file)
@@ -8,7 +8,6 @@
 #include <dm.h>
 #include <errno.h>
 #include <fdtdec.h>
-#include <mapmem.h>
 #include <ns16550.h>
 #include <serial.h>
 #include <watchdog.h>
@@ -57,6 +56,10 @@ DECLARE_GLOBAL_DATA_PTR;
 
 #ifdef CONFIG_DM_SERIAL
 
+#ifndef CONFIG_SYS_NS16550_CLK
+#define CONFIG_SYS_NS16550_CLK  0
+#endif
+
 static inline void serial_out_shift(void *addr, int shift, int value)
 {
 #ifdef CONFIG_SYS_NS16550_PORT_MAPPED
@@ -97,7 +100,7 @@ static void ns16550_writeb(NS16550_t port, int offset, int value)
        unsigned char *addr;
 
        offset *= 1 << plat->reg_shift;
-       addr = map_sysmem(plat->base, 0) + offset;
+       addr = map_physmem(plat->base, 0, MAP_NOCACHE) + offset;
        /*
         * As far as we know it doesn't make sense to support selection of
         * these options at run-time, so use the existing CONFIG options.
@@ -111,7 +114,7 @@ static int ns16550_readb(NS16550_t port, int offset)
        unsigned char *addr;
 
        offset *= 1 << plat->reg_shift;
-       addr = map_sysmem(plat->base, 0) + offset;
+       addr = map_physmem(plat->base, 0, MAP_NOCACHE) + offset;
 
        return serial_in_shift(addr, plat->reg_shift);
 }
@@ -401,6 +404,13 @@ int ns16550_serial_ofdata_to_platdata(struct udevice *dev)
        plat->base = addr;
        plat->reg_shift = fdtdec_get_int(gd->fdt_blob, dev->of_offset,
                                         "reg-shift", 1);
+       plat->clock = fdtdec_get_int(gd->fdt_blob, dev->of_offset,
+                                    "clock-frequency",
+                                    CONFIG_SYS_NS16550_CLK);
+       if (!plat->clock) {
+               debug("ns16550 clock not defined\n");
+               return -EINVAL;
+       }
 
        return 0;
 }
@@ -412,4 +422,33 @@ const struct dm_serial_ops ns16550_serial_ops = {
        .getc = ns16550_serial_getc,
        .setbrg = ns16550_serial_setbrg,
 };
+
+#if CONFIG_IS_ENABLED(OF_CONTROL)
+static const struct udevice_id ns16550_serial_ids[] = {
+       { .compatible = "ns16550" },
+       { .compatible = "ns16550a" },
+       { .compatible = "nvidia,tegra20-uart" },
+       { .compatible = "snps,dw-apb-uart" },
+       { .compatible = "ti,omap2-uart" },
+       { .compatible = "ti,omap3-uart" },
+       { .compatible = "ti,omap4-uart" },
+       { .compatible = "ti,am3352-uart" },
+       { .compatible = "ti,am4372-uart" },
+       { .compatible = "ti,dra742-uart" },
+       {}
+};
+#endif
+
+U_BOOT_DRIVER(ns16550_serial) = {
+       .name   = "ns16550_serial",
+       .id     = UCLASS_SERIAL,
+#if CONFIG_IS_ENABLED(OF_CONTROL)
+       .of_match = ns16550_serial_ids,
+       .ofdata_to_platdata = ns16550_serial_ofdata_to_platdata,
+       .platdata_auto_alloc_size = sizeof(struct ns16550_platdata),
+#endif
+       .priv_auto_alloc_size = sizeof(struct NS16550),
+       .probe = ns16550_serial_probe,
+       .ops    = &ns16550_serial_ops,
+};
 #endif /* CONFIG_DM_SERIAL */
diff --git a/drivers/serial/serial_dw.c b/drivers/serial/serial_dw.c
deleted file mode 100644 (file)
index a348f29..0000000
+++ /dev/null
@@ -1,39 +0,0 @@
-/*
- * Copyright (c) 2014 Google, Inc
- *
- * SPDX-License-Identifier:    GPL-2.0+
- */
-
-#include <common.h>
-#include <dm.h>
-#include <ns16550.h>
-#include <serial.h>
-
-static const struct udevice_id dw_serial_ids[] = {
-       { .compatible = "snps,dw-apb-uart" },
-       { }
-};
-
-static int dw_serial_ofdata_to_platdata(struct udevice *dev)
-{
-       struct ns16550_platdata *plat = dev_get_platdata(dev);
-       int ret;
-
-       ret = ns16550_serial_ofdata_to_platdata(dev);
-       if (ret)
-               return ret;
-       plat->clock = CONFIG_SYS_NS16550_CLK;
-
-       return 0;
-}
-
-U_BOOT_DRIVER(serial_ns16550) = {
-       .name   = "serial_dw",
-       .id     = UCLASS_SERIAL,
-       .of_match = dw_serial_ids,
-       .ofdata_to_platdata = dw_serial_ofdata_to_platdata,
-       .platdata_auto_alloc_size = sizeof(struct ns16550_platdata),
-       .priv_auto_alloc_size = sizeof(struct NS16550),
-       .probe = ns16550_serial_probe,
-       .ops    = &ns16550_serial_ops,
-};
diff --git a/drivers/serial/serial_keystone.c b/drivers/serial/serial_keystone.c
deleted file mode 100644 (file)
index 7b5ab6c..0000000
+++ /dev/null
@@ -1,48 +0,0 @@
-/*
- * Copyright (c) 2015 Texas Instruments, <www.ti.com>
- *
- * SPDX-License-Identifier:    GPL-2.0+
- */
-
-#include <common.h>
-#include <dm.h>
-#include <fdtdec.h>
-#include <ns16550.h>
-#include <serial.h>
-#include <asm/arch/clock.h>
-
-DECLARE_GLOBAL_DATA_PTR;
-
-#if CONFIG_IS_ENABLED(OF_CONTROL)
-static const struct udevice_id keystone_serial_ids[] = {
-       { .compatible = "ti,keystone-uart" },
-       { .compatible = "ns16550a" },
-       { }
-};
-
-static int keystone_serial_ofdata_to_platdata(struct udevice *dev)
-{
-       struct ns16550_platdata *plat = dev_get_platdata(dev);
-       int ret;
-
-       ret = ns16550_serial_ofdata_to_platdata(dev);
-       if (ret)
-               return ret;
-       plat->clock = CONFIG_SYS_NS16550_CLK;
-       return 0;
-}
-#endif
-
-U_BOOT_DRIVER(serial_keystone_ns16550) = {
-       .name   = "serial_keystone",
-       .id     = UCLASS_SERIAL,
-#if CONFIG_IS_ENABLED(OF_CONTROL)
-       .of_match = of_match_ptr(keystone_serial_ids),
-       .ofdata_to_platdata = of_match_ptr(keystone_serial_ofdata_to_platdata),
-       .platdata_auto_alloc_size = sizeof(struct ns16550_platdata),
-#endif
-       .priv_auto_alloc_size = sizeof(struct NS16550),
-       .probe = ns16550_serial_probe,
-       .ops    = &ns16550_serial_ops,
-       .flags  = DM_FLAG_PRE_RELOC,
-};
diff --git a/drivers/serial/serial_omap.c b/drivers/serial/serial_omap.c
deleted file mode 100644 (file)
index 891cd7b..0000000
+++ /dev/null
@@ -1,54 +0,0 @@
-/*
- * Copyright (c) 2014 Google, Inc
- *
- * SPDX-License-Identifier:    GPL-2.0+
- */
-
-#include <common.h>
-#include <dm.h>
-#include <fdtdec.h>
-#include <ns16550.h>
-#include <serial.h>
-
-DECLARE_GLOBAL_DATA_PTR;
-
-#define DEFAULT_CLK_SPEED 48000000 /* 48Mhz */
-
-#if CONFIG_IS_ENABLED(OF_CONTROL)
-static const struct udevice_id omap_serial_ids[] = {
-       { .compatible = "ti,omap2-uart" },
-       { .compatible = "ti,omap3-uart" },
-       { .compatible = "ti,omap4-uart" },
-       { .compatible = "ti,am3352-uart" },
-       { .compatible = "ti,am4372-uart" },
-       { .compatible = "ti,dra742-uart" },
-       { }
-};
-
-static int omap_serial_ofdata_to_platdata(struct udevice *dev)
-{
-       struct ns16550_platdata *plat = dev_get_platdata(dev);
-       int ret;
-
-       ret = ns16550_serial_ofdata_to_platdata(dev);
-       if (ret)
-               return ret;
-       plat->clock = fdtdec_get_int(gd->fdt_blob, dev->of_offset,
-                                    "clock-frequency", DEFAULT_CLK_SPEED);
-       plat->reg_shift = 2;
-
-       return 0;
-}
-#endif
-
-U_BOOT_DRIVER(serial_omap_ns16550) = {
-       .name   = "serial_omap",
-       .id     = UCLASS_SERIAL,
-       .of_match = of_match_ptr(omap_serial_ids),
-       .ofdata_to_platdata = of_match_ptr(omap_serial_ofdata_to_platdata),
-       .platdata_auto_alloc_size = sizeof(struct ns16550_platdata),
-       .priv_auto_alloc_size = sizeof(struct NS16550),
-       .probe = ns16550_serial_probe,
-       .ops    = &ns16550_serial_ops,
-       .flags  = DM_FLAG_PRE_RELOC,
-};
diff --git a/drivers/serial/serial_ppc.c b/drivers/serial/serial_ppc.c
deleted file mode 100644 (file)
index 47141c6..0000000
+++ /dev/null
@@ -1,40 +0,0 @@
-/*
- * Copyright (c) 2014 Google, Inc
- *
- * SPDX-License-Identifier:    GPL-2.0+
- */
-
-#include <common.h>
-#include <dm.h>
-#include <ns16550.h>
-#include <serial.h>
-
-static const struct udevice_id ppc_serial_ids[] = {
-       { .compatible = "ns16550" },
-       { }
-};
-
-static int ppc_serial_ofdata_to_platdata(struct udevice *dev)
-{
-       struct ns16550_platdata *plat = dev_get_platdata(dev);
-       int ret;
-
-       ret = ns16550_serial_ofdata_to_platdata(dev);
-       if (ret)
-               return ret;
-       plat->clock = get_serial_clock();
-
-       return 0;
-}
-
-U_BOOT_DRIVER(serial_ns16550) = {
-       .name   = "serial_ppc",
-       .id     = UCLASS_SERIAL,
-       .of_match = ppc_serial_ids,
-       .ofdata_to_platdata = ppc_serial_ofdata_to_platdata,
-       .platdata_auto_alloc_size = sizeof(struct ns16550_platdata),
-       .priv_auto_alloc_size = sizeof(struct NS16550),
-       .probe = ns16550_serial_probe,
-       .ops    = &ns16550_serial_ops,
-       .flags  = DM_FLAG_PRE_RELOC,
-};
diff --git a/drivers/serial/serial_rockchip.c b/drivers/serial/serial_rockchip.c
deleted file mode 100644 (file)
index 0e7bbfc..0000000
+++ /dev/null
@@ -1,43 +0,0 @@
-/*
- * Copyright (c) 2015 Google, Inc
- *
- * SPDX-License-Identifier:    GPL-2.0+
- */
-
-#include <common.h>
-#include <dm.h>
-#include <ns16550.h>
-#include <serial.h>
-#include <asm/arch/clock.h>
-
-static const struct udevice_id rockchip_serial_ids[] = {
-       { .compatible = "rockchip,rk3288-uart" },
-       { }
-};
-
-static int rockchip_serial_ofdata_to_platdata(struct udevice *dev)
-{
-       struct ns16550_platdata *plat = dev_get_platdata(dev);
-       int ret;
-
-       ret = ns16550_serial_ofdata_to_platdata(dev);
-       if (ret)
-               return ret;
-
-       /* Do all Rockchip parts use 24MHz? */
-       plat->clock = 24 * 1000000;
-
-       return 0;
-}
-
-U_BOOT_DRIVER(serial_ns16550) = {
-       .name   = "serial_rockchip",
-       .id     = UCLASS_SERIAL,
-       .of_match = rockchip_serial_ids,
-       .ofdata_to_platdata = rockchip_serial_ofdata_to_platdata,
-       .platdata_auto_alloc_size = sizeof(struct ns16550_platdata),
-       .priv_auto_alloc_size = sizeof(struct NS16550),
-       .probe = ns16550_serial_probe,
-       .ops    = &ns16550_serial_ops,
-       .flags  = DM_FLAG_PRE_RELOC,
-};
diff --git a/drivers/serial/serial_tegra.c b/drivers/serial/serial_tegra.c
deleted file mode 100644 (file)
index 0c84f0b..0000000
+++ /dev/null
@@ -1,54 +0,0 @@
-/*
- * Copyright (c) 2014 Google, Inc
- *
- * SPDX-License-Identifier:    GPL-2.0+
- */
-
-#include <common.h>
-#include <dm.h>
-#include <ns16550.h>
-#include <serial.h>
-
-#if CONFIG_IS_ENABLED(OF_CONTROL)
-static const struct udevice_id tegra_serial_ids[] = {
-       { .compatible = "nvidia,tegra20-uart" },
-       { }
-};
-
-static int tegra_serial_ofdata_to_platdata(struct udevice *dev)
-{
-       struct ns16550_platdata *plat = dev_get_platdata(dev);
-       int ret;
-
-       ret = ns16550_serial_ofdata_to_platdata(dev);
-       if (ret)
-               return ret;
-       plat->clock = V_NS16550_CLK;
-
-       return 0;
-}
-#else
-struct ns16550_platdata tegra_serial = {
-       .base = CONFIG_SYS_NS16550_COM1,
-       .reg_shift = 2,
-       .clock = V_NS16550_CLK,
-};
-
-U_BOOT_DEVICE(ns16550_serial) = {
-       "serial_tegra20", &tegra_serial
-};
-#endif
-
-U_BOOT_DRIVER(serial_ns16550) = {
-       .name   = "serial_tegra20",
-       .id     = UCLASS_SERIAL,
-#if CONFIG_IS_ENABLED(OF_CONTROL)
-       .of_match = tegra_serial_ids,
-       .ofdata_to_platdata = tegra_serial_ofdata_to_platdata,
-       .platdata_auto_alloc_size = sizeof(struct ns16550_platdata),
-#endif
-       .priv_auto_alloc_size = sizeof(struct NS16550),
-       .probe = ns16550_serial_probe,
-       .ops    = &ns16550_serial_ops,
-       .flags  = DM_FLAG_PRE_RELOC,
-};
diff --git a/drivers/serial/serial_x86.c b/drivers/serial/serial_x86.c
deleted file mode 100644 (file)
index 4bf6062..0000000
+++ /dev/null
@@ -1,44 +0,0 @@
-/*
- * Copyright (c) 2014 Google, Inc
- *
- * SPDX-License-Identifier:    GPL-2.0+
- */
-
-#include <common.h>
-#include <dm.h>
-#include <fdtdec.h>
-#include <ns16550.h>
-#include <serial.h>
-
-DECLARE_GLOBAL_DATA_PTR;
-
-static const struct udevice_id x86_serial_ids[] = {
-       { .compatible = "x86-uart" },
-       { }
-};
-
-static int x86_serial_ofdata_to_platdata(struct udevice *dev)
-{
-       struct ns16550_platdata *plat = dev_get_platdata(dev);
-       int ret;
-
-       ret = ns16550_serial_ofdata_to_platdata(dev);
-       if (ret)
-               return ret;
-
-       plat->clock = fdtdec_get_int(gd->fdt_blob, dev->of_offset,
-                                    "clock-frequency", 1843200);
-
-       return 0;
-}
-
-U_BOOT_DRIVER(serial_ns16550) = {
-       .name   = "serial_x86",
-       .id     = UCLASS_SERIAL,
-       .of_match = x86_serial_ids,
-       .ofdata_to_platdata = x86_serial_ofdata_to_platdata,
-       .platdata_auto_alloc_size = sizeof(struct ns16550_platdata),
-       .priv_auto_alloc_size = sizeof(struct NS16550),
-       .probe = ns16550_serial_probe,
-       .ops    = &ns16550_serial_ops,
-};
index 71a31d0127fee425e428bf579159b09865c3274c..9a6fc781515ac9016c7c791712395ae8d2396a95 100644 (file)
@@ -9,6 +9,7 @@
 /*#define DEBUG*/
 
 #include <common.h>
+#include <console.h>
 #include <malloc.h>
 #include <spi.h>
 
index 8359d760f95d5822152fbf83fa46238bbf421eb2..9a27b78f641747e11e90ee96383970970d449a13 100644 (file)
@@ -18,6 +18,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <malloc.h>
 #include <spi.h>
 
index 7209e1d319e3a527251b65fa7aa143bb9820abd4..75999c812d5152ddf070f0a3756c90c2dcee44e3 100644 (file)
@@ -8,6 +8,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <malloc.h>
 #include <spi.h>
 #include <asm/arch/rmobile.h>
index 7ca5e363da707ae5fe63dc421e0811c11b41ef77..1384385e05babe9f1f20b8ddd5ec32593411b515 100644 (file)
@@ -19,6 +19,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <malloc.h>
 #include <spi.h>
 #include <asm/io.h>
index 58388efbc3be29e8e96e3b64d78fee632bd05a0a..3c7d64ae63227d47702795fe9d5be722726a11ad 100644 (file)
@@ -118,6 +118,26 @@ static int spi_post_probe(struct udevice *bus)
        spi->max_hz = fdtdec_get_int(gd->fdt_blob, bus->of_offset,
                                     "spi-max-frequency", 0);
 
+#if defined(CONFIG_NEEDS_MANUAL_RELOC)
+       struct dm_spi_ops *ops = spi_get_ops(bus);
+
+
+       if (ops->claim_bus)
+               ops->claim_bus += gd->reloc_off;
+       if (ops->release_bus)
+               ops->release_bus += gd->reloc_off;
+       if (ops->set_wordlen)
+               ops->set_wordlen += gd->reloc_off;
+       if (ops->xfer)
+               ops->xfer += gd->reloc_off;
+       if (ops->set_speed)
+               ops->set_speed += gd->reloc_off;
+       if (ops->set_mode)
+               ops->set_mode += gd->reloc_off;
+       if (ops->cs_info)
+               ops->cs_info += gd->reloc_off;
+#endif
+
        return 0;
 }
 
index ecd9d78ae3873e4da21c10e03750f1400d3edeb7..646dd899d3ec262e1afdc22b66446d294540a257 100644 (file)
@@ -170,6 +170,8 @@ void spi_cs_deactivate(struct spi_slave *slave)
        debug("spi_cs_deactivate: 0x%08x\n", (u32)slave);
 
        writel(qslave->cmd | QSPI_INVAL, &qslave->base->cmd);
+       /* dummy readl to ensure bus sync */
+       readl(&qslave->base->cmd);
 }
 
 void spi_init(void)
index 97c41280052eab5569f4af8d0ee6d02a40d05c07..601e493d4f8d90d4def15720a0fe1b72aab3210c 100644 (file)
@@ -16,4 +16,11 @@ config ALTERA_TIMER
          Select this to enable an timer for Altera devices. Please find
          details on the "Embedded Peripherals IP User Guide" of Altera.
 
+config SANDBOX_TIMER
+       bool "Sandbox Timer support"
+       depends on SANDBOX && TIMER
+       help
+         Select this to enable an emulated timer for sandbox. It gets
+         time from host os.
+
 endmenu
index ae66c07d0e41ebfc6abb5dcb180eb2a928e2c472..300946e8d9e7bb527a54a18dc279d2b04100335a 100644 (file)
@@ -6,3 +6,4 @@
 
 obj-$(CONFIG_TIMER)            += timer-uclass.o
 obj-$(CONFIG_ALTERA_TIMER)     += altera_timer.o
+obj-$(CONFIG_SANDBOX_TIMER)    += sandbox_timer.o
diff --git a/drivers/timer/sandbox_timer.c b/drivers/timer/sandbox_timer.c
new file mode 100644 (file)
index 0000000..38de763
--- /dev/null
@@ -0,0 +1,53 @@
+/*
+ * Copyright (C) 2015 Thomas Chou <thomas@wytron.com.tw>
+ *
+ * SPDX-License-Identifier:    GPL-2.0+
+ */
+
+#include <common.h>
+#include <dm.h>
+#include <errno.h>
+#include <timer.h>
+#include <os.h>
+
+/* system timer offset in ms */
+static unsigned long sandbox_timer_offset;
+
+void sandbox_timer_add_offset(unsigned long offset)
+{
+       sandbox_timer_offset += offset;
+}
+
+static int sandbox_timer_get_count(struct udevice *dev, unsigned long *count)
+{
+       *count = os_get_nsec() / 1000 + sandbox_timer_offset * 1000;
+
+       return 0;
+}
+
+static int sandbox_timer_probe(struct udevice *dev)
+{
+       struct timer_dev_priv *uc_priv = dev_get_uclass_priv(dev);
+
+       uc_priv->clock_rate = 1000000;
+
+       return 0;
+}
+
+static const struct timer_ops sandbox_timer_ops = {
+       .get_count = sandbox_timer_get_count,
+};
+
+static const struct udevice_id sandbox_timer_ids[] = {
+       { .compatible = "sandbox,timer" },
+       { }
+};
+
+U_BOOT_DRIVER(sandbox_timer) = {
+       .name   = "sandbox_timer",
+       .id     = UCLASS_TIMER,
+       .of_match = sandbox_timer_ids,
+       .probe = sandbox_timer_probe,
+       .ops    = &sandbox_timer_ops,
+       .flags = DM_FLAG_PRE_RELOC,
+};
index 8fd83d5d0600aa8dfea7a90f2cfbed583017e979..b64ac6d72135269d8630506591ff7f3752e605ee 100644 (file)
@@ -7,4 +7,5 @@
 
 obj-$(CONFIG_USB_EMUL) += sandbox_flash.o
 obj-$(CONFIG_USB_EMUL) += sandbox_hub.o
+obj-$(CONFIG_USB_EMUL) += sandbox_keyb.o
 obj-$(CONFIG_USB_EMUL) += usb-emul-uclass.o
index 6e0808ded88914a0f3cb048884ff18ced633faa1..0965ad01d3d69875e69bd1cf3e1b548b0029b666 100644 (file)
@@ -31,6 +31,14 @@ enum cmd_phase {
        PHASE_STATUS,
 };
 
+enum {
+       STRINGID_MANUFACTURER = 1,
+       STRINGID_PRODUCT,
+       STRINGID_SERIAL,
+
+       STRINGID_COUNT,
+};
+
 /**
  * struct sandbox_flash_priv - private state for this driver
  *
@@ -61,6 +69,7 @@ struct sandbox_flash_priv {
 
 struct sandbox_flash_plat {
        const char *pathname;
+       struct usb_string flash_strings[STRINGID_COUNT];
 };
 
 struct scsi_inquiry_resp {
@@ -89,21 +98,6 @@ struct __packed scsi_read10_req {
        u8 spare2[3];
 };
 
-enum {
-       STRINGID_MANUFACTURER = 1,
-       STRINGID_PRODUCT,
-       STRINGID_SERIAL,
-
-       STRINGID_COUNT,
-};
-
-static struct usb_string flash_strings[] = {
-       {STRINGID_MANUFACTURER, "sandbox"},
-       {STRINGID_PRODUCT,      "flash"},
-       {STRINGID_SERIAL,       "2345"},
-       {},
-};
-
 static struct usb_device_descriptor flash_device_desc = {
        .bLength =              sizeof(flash_device_desc),
        .bDescriptorType =      USB_DT_DEVICE,
@@ -246,7 +240,8 @@ static void handle_read(struct sandbox_flash_priv *priv, ulong lba,
        }
 }
 
-static int handle_ufi_command(struct sandbox_flash_priv *priv, const void *buff,
+static int handle_ufi_command(struct sandbox_flash_plat *plat,
+                             struct sandbox_flash_priv *priv, const void *buff,
                              int len)
 {
        const struct SCSI_cmd_block *req = buff;
@@ -260,9 +255,10 @@ static int handle_ufi_command(struct sandbox_flash_priv *priv, const void *buff,
                resp->data_format = 1;
                resp->additional_len = 0x1f;
                strncpy(resp->vendor,
-                       flash_strings[STRINGID_MANUFACTURER -  1].s,
+                       plat->flash_strings[STRINGID_MANUFACTURER -  1].s,
                        sizeof(resp->vendor));
-               strncpy(resp->product, flash_strings[STRINGID_PRODUCT - 1].s,
+               strncpy(resp->product,
+                       plat->flash_strings[STRINGID_PRODUCT - 1].s,
                        sizeof(resp->product));
                strncpy(resp->revision, "1.0", sizeof(resp->revision));
                setup_response(priv, resp, sizeof(*resp));
@@ -303,6 +299,7 @@ static int handle_ufi_command(struct sandbox_flash_priv *priv, const void *buff,
 static int sandbox_flash_bulk(struct udevice *dev, struct usb_device *udev,
                              unsigned long pipe, void *buff, int len)
 {
+       struct sandbox_flash_plat *plat = dev_get_platdata(dev);
        struct sandbox_flash_priv *priv = dev_get_priv(dev);
        int ep = usb_pipeendpoint(pipe);
        struct umass_bbb_cbw *cbw = buff;
@@ -325,7 +322,7 @@ static int sandbox_flash_bulk(struct udevice *dev, struct usb_device *udev,
                                goto err;
                        priv->transfer_len = cbw->dCBWDataTransferLength;
                        priv->tag = cbw->dCBWTag;
-                       return handle_ufi_command(priv, cbw->CBWCDB,
+                       return handle_ufi_command(plat, priv, cbw->CBWCDB,
                                                  cbw->bCDBLength);
                case PHASE_DATA:
                        debug("data out\n");
@@ -384,7 +381,18 @@ static int sandbox_flash_ofdata_to_platdata(struct udevice *dev)
 
 static int sandbox_flash_bind(struct udevice *dev)
 {
-       return usb_emul_setup_device(dev, PACKET_SIZE_64, flash_strings,
+       struct sandbox_flash_plat *plat = dev_get_platdata(dev);
+       struct usb_string *fs;
+
+       fs = plat->flash_strings;
+       fs[0].id = STRINGID_MANUFACTURER;
+       fs[0].s = "sandbox";
+       fs[1].id = STRINGID_PRODUCT;
+       fs[1].s = "flash";
+       fs[2].id = STRINGID_SERIAL;
+       fs[2].s = dev->name;
+
+       return usb_emul_setup_device(dev, PACKET_SIZE_64, plat->flash_strings,
                                     flash_desc_list);
 }
 
index baf8bdc857b6452315af9c7efec983dd2a1bc06d..624fbdecf1f965bcda9cc4244d21905f06f87af7 100644 (file)
@@ -13,7 +13,7 @@
 DECLARE_GLOBAL_DATA_PTR;
 
 /* We only support up to 8 */
-#define SANDBOX_NUM_PORTS      2
+#define SANDBOX_NUM_PORTS      4
 
 struct sandbox_hub_platdata {
        struct usb_dev_platdata plat;
diff --git a/drivers/usb/emul/sandbox_keyb.c b/drivers/usb/emul/sandbox_keyb.c
new file mode 100644 (file)
index 0000000..2735985
--- /dev/null
@@ -0,0 +1,241 @@
+/*
+ * (C) Copyright 2015 Google, Inc
+ * Written by Simon Glass <sjg@chromium.org>
+ *
+ * SPDX-License-Identifier:    GPL-2.0+
+ */
+
+#include <common.h>
+#include <dm.h>
+#include <os.h>
+#include <scsi.h>
+#include <usb.h>
+
+DECLARE_GLOBAL_DATA_PTR;
+
+/*
+ * This driver emulates a USB keyboard using the USB HID specification (boot
+ * protocol)
+ */
+
+enum {
+       SANDBOX_KEYB_EP_IN              = 1,    /* endpoints */
+};
+
+enum cmd_phase {
+       PHASE_START,
+       PHASE_DATA,
+       PHASE_STATUS,
+};
+
+enum {
+       STRINGID_MANUFACTURER = 1,
+       STRINGID_PRODUCT,
+       STRINGID_SERIAL,
+
+       STRINGID_COUNT,
+};
+
+/**
+ * struct sandbox_keyb_priv - private state for this driver
+ *
+ */
+struct sandbox_keyb_priv {
+       struct membuff in;
+};
+
+struct sandbox_keyb_plat {
+       struct usb_string keyb_strings[STRINGID_COUNT];
+};
+
+static struct usb_device_descriptor keyb_device_desc = {
+       .bLength =              sizeof(keyb_device_desc),
+       .bDescriptorType =      USB_DT_DEVICE,
+
+       .bcdUSB =               __constant_cpu_to_le16(0x0100),
+
+       .bDeviceClass =         0,
+       .bDeviceSubClass =      0,
+       .bDeviceProtocol =      0,
+
+       .idVendor =             __constant_cpu_to_le16(0x1234),
+       .idProduct =            __constant_cpu_to_le16(0x5679),
+       .iManufacturer =        STRINGID_MANUFACTURER,
+       .iProduct =             STRINGID_PRODUCT,
+       .iSerialNumber =        STRINGID_SERIAL,
+       .bNumConfigurations =   1,
+};
+
+static struct usb_config_descriptor keyb_config0 = {
+       .bLength                = sizeof(keyb_config0),
+       .bDescriptorType        = USB_DT_CONFIG,
+
+       /* wTotalLength is set up by usb-emul-uclass */
+       .bNumInterfaces         = 2,
+       .bConfigurationValue    = 0,
+       .iConfiguration         = 0,
+       .bmAttributes           = 1 << 7 | 1 << 5,
+       .bMaxPower              = 50,
+};
+
+static struct usb_interface_descriptor keyb_interface0 = {
+       .bLength                = sizeof(keyb_interface0),
+       .bDescriptorType        = USB_DT_INTERFACE,
+
+       .bInterfaceNumber       = 0,
+       .bAlternateSetting      = 0,
+       .bNumEndpoints          = 1,
+       .bInterfaceClass        = USB_CLASS_HID,
+       .bInterfaceSubClass     = USB_SUB_HID_BOOT,
+       .bInterfaceProtocol     = USB_PROT_HID_KEYBOARD,
+       .iInterface             = 0,
+};
+
+static struct usb_class_hid_descriptor keyb_report0 = {
+       .bLength                = sizeof(keyb_report0),
+       .bDescriptorType        = USB_DT_HID,
+       .bcdCDC                 = 0x101,
+       .bCountryCode           = 0,
+       .bNumDescriptors        = 1,
+       .bDescriptorType0       = USB_DT_HID_REPORT,
+       .wDescriptorLength0     = 0x3f,
+};
+
+static struct usb_endpoint_descriptor keyb_endpoint0_in = {
+       .bLength                = USB_DT_ENDPOINT_SIZE,
+       .bDescriptorType        = USB_DT_ENDPOINT,
+
+       .bEndpointAddress       = SANDBOX_KEYB_EP_IN | USB_ENDPOINT_DIR_MASK,
+       .bmAttributes           = USB_ENDPOINT_XFER_BULK |
+                                       USB_ENDPOINT_XFER_ISOC,
+       .wMaxPacketSize         = __constant_cpu_to_le16(8),
+       .bInterval              = 0xa,
+};
+
+static struct usb_interface_descriptor keyb_interface1 = {
+       .bLength                = sizeof(keyb_interface1),
+       .bDescriptorType        = USB_DT_INTERFACE,
+
+       .bInterfaceNumber       = 1,
+       .bAlternateSetting      = 0,
+       .bNumEndpoints          = 1,
+       .bInterfaceClass        = USB_CLASS_HID,
+       .bInterfaceSubClass     = USB_SUB_HID_BOOT,
+       .bInterfaceProtocol     = USB_PROT_HID_MOUSE,
+       .iInterface             = 0,
+};
+
+static struct usb_class_hid_descriptor keyb_report1 = {
+       .bLength                = sizeof(struct usb_class_hid_descriptor),
+       .bDescriptorType        = USB_DT_HID,
+       .bcdCDC                 = 0x101,
+       .bCountryCode           = 0,
+       .bNumDescriptors        = 1,
+       .bDescriptorType0       = USB_DT_HID_REPORT,
+       .wDescriptorLength0     = 0x32,
+};
+
+static struct usb_endpoint_descriptor keyb_endpoint1_in = {
+       .bLength                = USB_DT_ENDPOINT_SIZE,
+       .bDescriptorType        = USB_DT_ENDPOINT,
+
+       .bEndpointAddress       = SANDBOX_KEYB_EP_IN | USB_ENDPOINT_DIR_MASK,
+       .bmAttributes           = USB_ENDPOINT_XFER_BULK |
+                                       USB_ENDPOINT_XFER_ISOC,
+       .wMaxPacketSize         = __constant_cpu_to_le16(8),
+       .bInterval              = 0xa,
+};
+
+static void *keyb_desc_list[] = {
+       &keyb_device_desc,
+       &keyb_config0,
+       &keyb_interface0,
+       &keyb_report0,
+       &keyb_endpoint0_in,
+       &keyb_interface1,
+       &keyb_report1,
+       &keyb_endpoint1_in,
+       NULL,
+};
+
+int sandbox_usb_keyb_add_string(struct udevice *dev, const char *str)
+{
+       struct sandbox_keyb_priv *priv = dev_get_priv(dev);
+       int len, ret;
+
+       len = strlen(str);
+       ret = membuff_put(&priv->in, str, len);
+       if (ret != len)
+               return -ENOSPC;
+
+       return 0;
+}
+
+static int sandbox_keyb_control(struct udevice *dev, struct usb_device *udev,
+                               unsigned long pipe, void *buff, int len,
+                               struct devrequest *setup)
+{
+       debug("pipe=%lx\n", pipe);
+
+       return -EIO;
+}
+
+static int sandbox_keyb_interrupt(struct udevice *dev, struct usb_device *udev,
+               unsigned long pipe, void *buffer, int length, int interval)
+{
+       struct sandbox_keyb_priv *priv = dev_get_priv(dev);
+       uint8_t *data = buffer;
+       int ch;
+
+       memset(data, '\0', length);
+       ch = membuff_getbyte(&priv->in);
+       if (ch != -1)
+               data[2] = 4 + ch - 'a';
+
+       return 0;
+}
+
+static int sandbox_keyb_bind(struct udevice *dev)
+{
+       struct sandbox_keyb_plat *plat = dev_get_platdata(dev);
+       struct usb_string *fs;
+
+       fs = plat->keyb_strings;
+       fs[0].id = STRINGID_MANUFACTURER;
+       fs[0].s = "sandbox";
+       fs[1].id = STRINGID_PRODUCT;
+       fs[1].s = "keyboard";
+       fs[2].id = STRINGID_SERIAL;
+       fs[2].s = dev->name;
+
+       return usb_emul_setup_device(dev, PACKET_SIZE_8, plat->keyb_strings,
+                                    keyb_desc_list);
+}
+
+static int sandbox_keyb_probe(struct udevice *dev)
+{
+       struct sandbox_keyb_priv *priv = dev_get_priv(dev);
+
+       return membuff_new(&priv->in, 256);
+}
+
+static const struct dm_usb_ops sandbox_usb_keyb_ops = {
+       .control        = sandbox_keyb_control,
+       .interrupt      = sandbox_keyb_interrupt,
+};
+
+static const struct udevice_id sandbox_usb_keyb_ids[] = {
+       { .compatible = "sandbox,usb-keyb" },
+       { }
+};
+
+U_BOOT_DRIVER(usb_sandbox_keyb) = {
+       .name   = "usb_sandbox_keyb",
+       .id     = UCLASS_USB_EMUL,
+       .of_match = sandbox_usb_keyb_ids,
+       .bind   = sandbox_keyb_bind,
+       .probe  = sandbox_keyb_probe,
+       .ops    = &sandbox_usb_keyb_ops,
+       .priv_auto_alloc_size = sizeof(struct sandbox_keyb_priv),
+       .platdata_auto_alloc_size = sizeof(struct sandbox_keyb_plat),
+};
index 205f2c54dfb2b312c1442323a73a1758a79a32b8..ee7ea5ad91cf2b9238c470a823cdf547e915bded 100644 (file)
@@ -108,9 +108,8 @@ static int usb_emul_get_descriptor(struct usb_dev_platdata *plat, int value,
        return upto ? upto : length ? -EIO : 0;
 }
 
-int usb_emul_find(struct udevice *bus, ulong pipe, struct udevice **emulp)
+static int usb_emul_find_devnum(int devnum, struct udevice **emulp)
 {
-       int devnum = usb_pipedevice(pipe);
        struct udevice *dev;
        struct uclass *uc;
        int ret;
@@ -134,6 +133,20 @@ int usb_emul_find(struct udevice *bus, ulong pipe, struct udevice **emulp)
        return -ENOENT;
 }
 
+int usb_emul_find(struct udevice *bus, ulong pipe, struct udevice **emulp)
+{
+       int devnum = usb_pipedevice(pipe);
+
+       return usb_emul_find_devnum(devnum, emulp);
+}
+
+int usb_emul_find_for_dev(struct udevice *dev, struct udevice **emulp)
+{
+       struct usb_dev_platdata *udev = dev_get_parent_platdata(dev);
+
+       return usb_emul_find_devnum(udev->devnum, emulp);
+}
+
 int usb_emul_control(struct udevice *emul, struct usb_device *udev,
                     unsigned long pipe, void *buffer, int length,
                     struct devrequest *setup)
@@ -205,6 +218,18 @@ int usb_emul_bulk(struct udevice *emul, struct usb_device *udev,
        return ops->bulk(emul, udev, pipe, buffer, length);
 }
 
+int usb_emul_int(struct udevice *emul, struct usb_device *udev,
+                 unsigned long pipe, void *buffer, int length, int interval)
+{
+       struct dm_usb_ops *ops = usb_get_emul_ops(emul);
+
+       if (!ops->interrupt)
+               return -ENOSYS;
+       debug("%s: dev=%s\n", __func__, emul->name);
+
+       return ops->interrupt(emul, udev, pipe, buffer, length, interval);
+}
+
 int usb_emul_setup_device(struct udevice *dev, int maxpacketsize,
                          struct usb_string *strings, void **desc_list)
 {
index c5e35ee35077a37cca9a623c77c835dc75542bb2..cfe9a24e24fbb8584bdb24887794bf7361b1bb20 100644 (file)
@@ -9,6 +9,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <asm/errno.h>
 #include <linux/netdevice.h>
 #include <linux/usb/ch9.h>
index abe9391d3d66bf9f2b2e3f36757c5c8e3c95a215..ec1f23a0cf3f953a8a46c39f2da2f2a1a0328cf7 100644 (file)
 #include <config.h>
 #include <malloc.h>
 #include <common.h>
+#include <console.h>
 #include <g_dnl.h>
 
 #include <linux/err.h>
index 9ed0ce3d31328674f982e8b32a96643b8e77c361..a60e9487e7746d62a98d8aa04db11a335aeb9f9c 100644 (file)
@@ -17,6 +17,7 @@
 
 #include <errno.h>
 #include <common.h>
+#include <console.h>
 #include <malloc.h>
 #include <memalign.h>
 #include <version.h>
index 373e04cbe5e09c0cc33f70edccef8c423cdb4cf2..6ef51906c28ca3de9d2e52ccad301116a14847ac 100644 (file)
@@ -7,6 +7,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <usb.h>
 #include <asm/io.h>
 
index c5f98220404432ae975cf43099cc40a78cbd6f68..5e3d96c208ef151f45d72a88a7b493da50b6ada4 100644 (file)
@@ -87,6 +87,24 @@ static int sandbox_submit_bulk(struct udevice *bus, struct usb_device *udev,
        return ret;
 }
 
+static int sandbox_submit_int(struct udevice *bus, struct usb_device *udev,
+                             unsigned long pipe, void *buffer, int length,
+                             int interval)
+{
+       struct udevice *emul;
+       int ret;
+
+       /* Just use child of dev as emulator? */
+       debug("%s: bus=%s\n", __func__, bus->name);
+       ret = usb_emul_find(bus, pipe, &emul);
+       usbmon_trace(bus, pipe, NULL, emul);
+       if (ret)
+               return ret;
+       ret = usb_emul_int(emul, udev, pipe, buffer, length, interval);
+
+       return ret;
+}
+
 static int sandbox_alloc_device(struct udevice *dev, struct usb_device *udev)
 {
        return 0;
@@ -100,6 +118,7 @@ static int sandbox_usb_probe(struct udevice *dev)
 static const struct dm_usb_ops sandbox_usb_ops = {
        .control        = sandbox_submit_control,
        .bulk           = sandbox_submit_bulk,
+       .interrupt      = sandbox_submit_int,
        .alloc_device   = sandbox_alloc_device,
 };
 
index 7f6a9a6d0548a44bf49efb2bbd377d3a5282b8fd..50538e0bd760461342812df0a162d262e541e0a4 100644 (file)
@@ -158,9 +158,6 @@ int usb_stop(void)
                ret = device_remove(bus);
                if (ret && !err)
                        err = ret;
-               ret = device_unbind_children(bus);
-               if (ret && !err)
-                       err = ret;
        }
 
 #ifdef CONFIG_SANDBOX
@@ -205,6 +202,20 @@ static void usb_scan_bus(struct udevice *bus, bool recurse)
                printf("%d USB Device(s) found\n", priv->next_addr);
 }
 
+static void remove_inactive_children(struct uclass *uc, struct udevice *bus)
+{
+       uclass_foreach_dev(bus, uc) {
+               struct udevice *dev, *next;
+
+               if (!device_active(bus))
+                       continue;
+               device_foreach_child_safe(dev, next, bus) {
+                       if (!device_active(dev))
+                               device_unbind(dev);
+               }
+       }
+}
+
 int usb_init(void)
 {
        int controllers_initialized = 0;
@@ -273,6 +284,15 @@ int usb_init(void)
        }
 
        debug("scan end\n");
+
+       /* Remove any devices that were not found on this scan */
+       remove_inactive_children(uc, bus);
+
+       ret = uclass_get(UCLASS_USB_HUB, &uc);
+       if (ret)
+               return ret;
+       remove_inactive_children(uc, bus);
+
        /* if we were not able to find at least one working bus, bail out */
        if (!count)
                printf("No controllers found\n");
@@ -282,6 +302,14 @@ int usb_init(void)
        return usb_started ? 0 : -1;
 }
 
+/*
+ * TODO(sjg@chromium.org): Remove this legacy function. At present it is needed
+ * to support boards which use driver model for USB but not Ethernet, and want
+ * to use USB Ethernet.
+ *
+ * The #if clause is here to ensure that remains the only case.
+ */
+#if !defined(CONFIG_DM_ETH) && defined(CONFIG_USB_HOST_ETHER)
 static struct usb_device *find_child_devnum(struct udevice *parent, int devnum)
 {
        struct usb_device *udev;
@@ -315,6 +343,7 @@ struct usb_device *usb_get_dev_index(struct udevice *bus, int index)
 
        return find_child_devnum(dev, devnum);
 }
+#endif
 
 int usb_post_bind(struct udevice *dev)
 {
@@ -494,14 +523,15 @@ error:
 }
 
 /**
- * usb_find_emul_child() - Find an existing device for emulated devices
+ * usb_find_child() - Find an existing device which matches our needs
+ *
+ *
  */
-static int usb_find_emul_child(struct udevice *parent,
-                              struct usb_device_descriptor *desc,
-                              struct usb_interface_descriptor *iface,
-                              struct udevice **devp)
+static int usb_find_child(struct udevice *parent,
+                         struct usb_device_descriptor *desc,
+                         struct usb_interface_descriptor *iface,
+                         struct udevice **devp)
 {
-#ifdef CONFIG_SANDBOX
        struct udevice *dev;
 
        *devp = NULL;
@@ -520,7 +550,7 @@ static int usb_find_emul_child(struct udevice *parent,
                        return 0;
                }
        }
-#endif
+
        return -ENOENT;
 }
 
@@ -580,8 +610,8 @@ int usb_scan_device(struct udevice *parent, int port,
        debug("read_descriptor for '%s': ret=%d\n", parent->name, ret);
        if (ret)
                return ret;
-       ret = usb_find_emul_child(parent, &udev->descriptor, iface, &dev);
-       debug("** usb_find_emul_child returns %d\n", ret);
+       ret = usb_find_child(parent, &udev->descriptor, iface, &dev);
+       debug("** usb_find_child returns %d\n", ret);
        if (ret) {
                if (ret != -ENOENT)
                        return ret;
index 10f6b5d1cf16a11f8a5554a512a766bb2cfa548a..233a0e4a5e297363532c6c2bc338fe2598094581 100644 (file)
@@ -1,4 +1,5 @@
 #include <common.h>
+#include <console.h>
 #include <watchdog.h>
 #ifdef CONFIG_ARCH_SUNXI
 #include <asm/arch/usb_phy.h>
index a146c0861fffd5145cc3de314c37e909b20f6cff..5eb8d19b740a76f34b6d105ae502ae7ce159756b 100644 (file)
@@ -165,6 +165,17 @@ static void USBC_ConfigFIFO_Base(void)
        writel(reg_value, SUNXI_SRAMC_BASE + 0x04);
 }
 
+/******************************************************************************
+ * Needed for the DFU polling magic
+ ******************************************************************************/
+
+static u8 last_int_usb;
+
+bool dfu_usb_get_reset(void)
+{
+       return !!(last_int_usb & MUSB_INTR_RESET);
+}
+
 /******************************************************************************
  * MUSB Glue code
  ******************************************************************************/
@@ -176,6 +187,7 @@ static irqreturn_t sunxi_musb_interrupt(int irq, void *__hci)
 
        /* read and flush interrupts */
        musb->int_usb = musb_readb(musb->mregs, MUSB_INTRUSB);
+       last_int_usb = musb->int_usb;
        if (musb->int_usb)
                musb_writeb(musb->mregs, MUSB_INTRUSB, musb->int_usb);
        musb->int_tx = musb_readw(musb->mregs, MUSB_INTRTX);
index 556a55f65c1b414d78ab3839a9c20fc9ae43ed63..f15c9645464ea13798a6058b9e337496da1f34ae 100644 (file)
  * logo can be placed in the upper left corner and additional board
  * information strings (that normally goes to serial port) can be drawn.
  *
- * The console driver can use the standard PC keyboard interface (i8042)
- * for character input. Character output goes to a memory mapped video
+ * The console driver can use a keyboard interface for character input
+ * but this is deprecated. Only rk51 uses it.
+ *
+ * Character output goes to a memory-mapped video
  * framebuffer with little or big-endian organisation.
  * With environment setting 'console=serial' the console i/o can be
  * forced to serial port.
  * VIDEO_DATA_FORMAT         - graphical data format GDF
  * VIDEO_FB_ADRS             - start of video memory
  *
- * CONFIG_I8042_KBD          - AT Keyboard driver for i8042
  * VIDEO_KBD_INIT_FCT        - init function for keyboard
  * VIDEO_TSTC_FCT            - keyboard_tstc function
  * VIDEO_GETC_FCT            - keyboard_getc function
  *
- * CONFIG_CONSOLE_CURSOR      - on/off drawing cursor is done with
- *                             delay loop in VIDEO_TSTC_FCT (i8042)
- *
- * CONFIG_SYS_CONSOLE_BLINK_COUNT - value for delay loop - blink rate
- * CONFIG_CONSOLE_TIME       - display time/date in upper right
- *                             corner, needs CONFIG_CMD_DATE and
- *                             CONFIG_CONSOLE_CURSOR
  * CONFIG_VIDEO_LOGO         - display Linux Logo in upper left corner.
  *                             Use CONFIG_SPLASH_SCREEN_ALIGN with
  *                             environment variable "splashpos" to place
 #define VIDEO_DATA_FORMAT      (pGD->gdfIndex)
 #define VIDEO_FB_ADRS          (pGD->frameAdrs)
 
-/*
- * Console device defines with i8042 keyboard controller
- * Any other keyboard controller must change this section
- */
-
-#ifdef CONFIG_I8042_KBD
-#include <i8042.h>
-
-#define VIDEO_KBD_INIT_FCT     i8042_kbd_init()
-#define VIDEO_TSTC_FCT         i8042_tstc
-#define VIDEO_GETC_FCT         i8042_getc
-#endif
-
 /*
  * Console device
  */
 
 /*
  * Cursor definition:
- * CONFIG_CONSOLE_CURSOR:  Uses a timer function (see drivers/input/i8042.c)
- *                        to let the cursor blink. Uses the macros
- *                        CURSOR_OFF and CURSOR_ON.
  * CONFIG_VIDEO_SW_CURSOR: Draws a cursor after the last character. No
  *                        blinking is provided. Uses the macros CURSOR_SET
  *                        and CURSOR_OFF.
  *                        must disable the hardware register of the graphic
  *                        chip. Otherwise a blinking field is displayed
  */
-#if !defined(CONFIG_CONSOLE_CURSOR) && \
-    !defined(CONFIG_VIDEO_SW_CURSOR) && \
-    !defined(CONFIG_VIDEO_HW_CURSOR)
+#if !defined(CONFIG_VIDEO_SW_CURSOR) && !defined(CONFIG_VIDEO_HW_CURSOR)
 /* no Cursor defined */
 #define CURSOR_ON
 #define CURSOR_OFF
 #define CURSOR_SET
 #endif
 
-#if defined(CONFIG_CONSOLE_CURSOR) || defined(CONFIG_VIDEO_SW_CURSOR)
-#if defined(CURSOR_ON) || \
-       (defined(CONFIG_CONSOLE_CURSOR) && defined(CONFIG_VIDEO_SW_CURSOR))
-#error only one of CONFIG_CONSOLE_CURSOR, CONFIG_VIDEO_SW_CURSOR, \
-       or CONFIG_VIDEO_HW_CURSOR can be defined
+#if defined(CONFIG_VIDEO_SW_CURSOR)
+#if defined(CONFIG_VIDEO_HW_CURSOR)
+#error only one of CONFIG_VIDEO_SW_CURSOR or CONFIG_VIDEO_HW_CURSOR can be \
+       defined
 #endif
 void console_cursor(int state);
 
 #define CURSOR_ON  console_cursor(1)
 #define CURSOR_OFF console_cursor(0)
 #define CURSOR_SET video_set_cursor()
-#endif /* CONFIG_CONSOLE_CURSOR || CONFIG_VIDEO_SW_CURSOR */
-
-#ifdef CONFIG_CONSOLE_CURSOR
-#ifndef        CONFIG_CONSOLE_TIME
-#error CONFIG_CONSOLE_CURSOR must be defined for CONFIG_CONSOLE_TIME
-#endif
-#ifndef CONFIG_I8042_KBD
-#warning Cursor drawing on/off needs timer function s.a. drivers/input/i8042.c
-#endif
-#endif /* CONFIG_CONSOLE_CURSOR */
-
+#endif /* CONFIG_VIDEO_SW_CURSOR */
 
 #ifdef CONFIG_VIDEO_HW_CURSOR
 #ifdef CURSOR_ON
-#error only one of CONFIG_CONSOLE_CURSOR, CONFIG_VIDEO_SW_CURSOR, \
-       or CONFIG_VIDEO_HW_CURSOR can be defined
+#error only one of CONFIG_VIDEO_SW_CURSOR or CONFIG_VIDEO_HW_CURSOR can be \
+       defined
 #endif
 #define CURSOR_ON
 #define CURSOR_OFF
@@ -626,7 +591,7 @@ static void video_putchar(int xx, int yy, unsigned char c)
        video_drawchars(xx, yy + video_logo_height, &c, 1);
 }
 
-#if defined(CONFIG_CONSOLE_CURSOR) || defined(CONFIG_VIDEO_SW_CURSOR)
+#if defined(CONFIG_VIDEO_SW_CURSOR)
 static void video_set_cursor(void)
 {
        if (cursor_state)
@@ -651,27 +616,6 @@ static void video_invertchar(int xx, int yy)
 
 void console_cursor(int state)
 {
-#ifdef CONFIG_CONSOLE_TIME
-       struct rtc_time tm;
-       char info[16];
-
-       /* time update only if cursor is on (faster scroll) */
-       if (state) {
-               rtc_get(&tm);
-
-               sprintf(info, " %02d:%02d:%02d ", tm.tm_hour, tm.tm_min,
-                       tm.tm_sec);
-               video_drawstring(VIDEO_VISIBLE_COLS - 10 * VIDEO_FONT_WIDTH,
-                                VIDEO_INFO_Y, (uchar *) info);
-
-               sprintf(info, "%02d.%02d.%04d", tm.tm_mday, tm.tm_mon,
-                       tm.tm_year);
-               video_drawstring(VIDEO_VISIBLE_COLS - 10 * VIDEO_FONT_WIDTH,
-                                VIDEO_INFO_Y + 1 * VIDEO_FONT_HEIGHT,
-                                (uchar *) info);
-       }
-#endif
-
        if (cursor_state != state) {
                if (cursor_state) {
                        /* turn off the cursor */
index c1afa5bc09a1f155fd957ce1a6a3cb12c1bffa9b..ba51007b14a6a268e3c87d72b48055a0a7bd511f 100644 (file)
 
 #include "glue.h"
 
-/*
- * printf() and vprintf() are stolen from u-boot/common/console.c
- */
-int printf (const char *fmt, ...)
-{
-       va_list args;
-       uint i;
-       char printbuffer[256];
-
-       va_start (args, fmt);
-
-       /* For this to work, printbuffer must be larger than
-        * anything we ever want to print.
-        */
-       i = vsprintf (printbuffer, fmt, args);
-       va_end (args);
-
-       /* Print the string */
-       ub_puts (printbuffer);
-       return i;
-}
-
-int vprintf (const char *fmt, va_list args)
+void putc(const char c)
 {
-       uint i;
-       char printbuffer[256];
-
-       /* For this to work, printbuffer must be larger than
-        * anything we ever want to print.
-        */
-       i = vsprintf (printbuffer, fmt, args);
-
-       /* Print the string */
-       ub_puts (printbuffer);
-       return i;
+       ub_putc(c);
 }
 
-void putc (const char c)
+void puts(const char *s)
 {
-       ub_putc(c);
+       ub_puts(s);
 }
 
 void __udelay(unsigned long usec)
index 215dc220336add24fd14a4cfc7106c81da58a720..17da8db9b91fd36218d708e5718a86657ffcfe90 100644 (file)
@@ -28,6 +28,7 @@
 
 
 #include <common.h>
+#include <console.h>
 #include <exports.h>
 
 DECLARE_GLOBAL_DATA_PTR;
index 6c79c5f65a0f74236f46c1f0da26612d3705d435..364ad2d2d58eacec5c477e694773479cc75eafea 100644 (file)
@@ -15,6 +15,7 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <exports.h>
 #include <linux/ctype.h>
 #include "../drivers/net/smc911x.h"
index 727a2f753df391457010925aa237a46330b5cf5f..e73223ac22c99a8687d065e5f91732f7f6deab98 100644 (file)
@@ -1920,6 +1920,11 @@ int ext4fs_iterate_dir(struct ext2fs_node *dir, char *name,
                if (status < 0)
                        return 0;
 
+               if (dirent.direntlen == 0) {
+                       printf("Failed to iterate over directory %s\n", name);
+                       return 0;
+               }
+
                if (dirent.namelen != 0) {
                        char filename[dirent.namelen + 1];
                        struct ext2fs_node *fdiro;
index d0383f3d7693bebbd8e757a5027e7c9fb26a721c..1abdcaa6b7cd3f9f21affd12e941a82b7b135bbf 100644 (file)
@@ -21,6 +21,7 @@
  */
 
 #ifndef __ASSEMBLY__
+#include <membuff.h>
 #include <linux/list.h>
 
 typedef struct global_data {
@@ -103,6 +104,10 @@ typedef struct global_data {
 #endif
        struct udevice *cur_serial_dev; /* current serial device */
        struct arch_global_data arch;   /* architecture-specific data */
+#ifdef CONFIG_CONSOLE_RECORD
+       struct membuff console_out;     /* console output */
+       struct membuff console_in;      /* console input */
+#endif
 } gd_t;
 #endif
 
@@ -121,5 +126,6 @@ typedef struct global_data {
 #define GD_FLG_FULL_MALLOC_INIT        0x00200 /* Full malloc() is ready          */
 #define GD_FLG_SPL_INIT                0x00400 /* spl_init() has been called      */
 #define GD_FLG_SKIP_RELOC      0x00800 /* Don't relocate */
+#define GD_FLG_RECORD          0x01000 /* Record console */
 
 #endif /* __ASM_GENERIC_GBL_DATA_H */
index 09a131da0b967b5d56dae953f0e1a543b39cea82..a3831b78dccdd4f1ee840e2513d5bfdc43f493e3 100644 (file)
@@ -218,7 +218,6 @@ int run_command_repeatable(const char *cmd, int flag);
  * @return 0 on success, or != 0 on error.
  */
 int run_command_list(const char *cmd, int len, int flag);
-extern char console_buffer[];
 
 /* arch/$(ARCH)/lib/board.c */
 void board_init_f(ulong);
@@ -475,10 +474,7 @@ void       reset_phy     (void);
 void   fdc_hw_init   (void);
 
 /* $(BOARD)/eeprom.c */
-void eeprom_init  (void);
-#ifndef CONFIG_SPI
-int  eeprom_probe (unsigned dev_addr, unsigned offset);
-#endif
+void eeprom_init  (int bus);
 int  eeprom_read  (unsigned dev_addr, unsigned offset, uchar *buffer, unsigned cnt);
 int  eeprom_write (unsigned dev_addr, unsigned offset, uchar *buffer, unsigned cnt);
 
@@ -862,15 +858,6 @@ void srand(unsigned int seed);
 unsigned int rand(void);
 unsigned int rand_r(unsigned int *seedp);
 
-/* common/console.c */
-int    console_init_f(void);   /* Before relocation; uses the serial  stuff    */
-int    console_init_r(void);   /* After  relocation; uses the console stuff    */
-int    console_assign(int file, const char *devname);  /* Assign the console   */
-int    ctrlc (void);
-int    had_ctrlc (void);       /* have we had a Control-C since last clear? */
-void   clear_ctrlc (void);     /* clear the Control-C condition */
-int    disable_ctrlc (int);    /* 1 to disable, 0 to enable Control-C detect */
-int confirm_yesno(void);        /*  1 if input is "y", "Y", "yes" or "YES" */
 /*
  * STDIO based functions (can always be used)
  */
@@ -929,13 +916,6 @@ static inline struct in_addr getenv_ip(char *var)
        return string_to_ip(getenv(var));
 }
 
-/*
- * CONSOLE multiplexing.
- */
-#ifdef CONFIG_CONSOLE_MUX
-#include <iomux.h>
-#endif
-
 int    pcmcia_init (void);
 
 #ifdef CONFIG_STATUS_LED
index 48d50637162422aee520b5f30a493df69bbd8715..8a91cdbd2290e7d0e0681425c496b8afbe3f2751 100644 (file)
@@ -20,6 +20,7 @@
  */
 #define CONFIG_BAUDRATE                        115200
 #define CONFIG_SYS_CONSOLE_INFO_QUIET  /* Suppress console info */
+#define CONFIG_SYS_NS16550_MEM32
 
 /*
  * Flash
index c83e5cea4b7c7a5294a4988249e25392b161b64e..9fb5cee711fc1f7c49b939bdc90977dd72247b7b 100644 (file)
@@ -483,7 +483,6 @@ unsigned long get_board_ddr_clk(void);
  * shorted - index 1
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (get_bus_freq(0)/2)
@@ -587,8 +586,6 @@ unsigned long get_board_ddr_clk(void);
 /*
  * eSPI - Enhanced SPI
  */
-#define CONFIG_FSL_ESPI
-#define CONFIG_SPI_FLASH_SST
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED         10000000
 #define CONFIG_SF_DEFAULT_MODE          0
index 2754016b05cde27e903b7b2f5a74663a72fe8efa..4b5ad0eade9d2b1ad5b55b2eaa5c8998fcfb17da 100644 (file)
@@ -227,7 +227,6 @@ extern unsigned long get_sdram_size(void);
 /* Serial Port */
 #define CONFIG_CONS_INDEX      1
 #undef CONFIG_SERIAL_SOFTWARE_FIFO
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
@@ -267,7 +266,6 @@ extern unsigned long get_sdram_size(void);
 
 /* I2C EEPROM */
 #define CONFIG_CMD_EEPROM
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS 3
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS 5
@@ -275,10 +273,8 @@ extern unsigned long get_sdram_size(void);
 #define CONFIG_CMD_I2C
 
 
-#define CONFIG_FSL_ESPI
 /* eSPI - Enhanced SPI */
 #ifdef CONFIG_FSL_ESPI
-#define CONFIG_SPI_FLASH_SPANSION
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED                10000000
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
index 54dcf3b9548de2086ef5aa597218ce313b0e587c..d0e5a2565a98fea58e17c22c74899c09d3810715 100644 (file)
@@ -408,7 +408,6 @@ combinations. this should be removed later
 /* Serial Port */
 #define CONFIG_CONS_INDEX      1
 #undef CONFIG_SERIAL_SOFTWARE_FIFO
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
@@ -463,7 +462,6 @@ combinations. this should be removed later
 
 /* enable read and write access to EEPROM */
 #define CONFIG_CMD_EEPROM
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS 3
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS 5
@@ -480,9 +478,7 @@ combinations. this should be removed later
  * used for SLIC
  */
 /* eSPI - Enhanced SPI */
-#define CONFIG_FSL_ESPI  /* SPI */
 #ifdef CONFIG_FSL_ESPI
-#define CONFIG_SPI_FLASH_SPANSION
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED                10000000
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
index 94f991b4f765e90036feb765fd06a3528fb01320..16920c6032037654b7ce96490932ecf77373bdae 100644 (file)
 
 /* Serial Port */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
 /* I2C EEPROM */
 /* enable read and write access to EEPROM */
 #define CONFIG_CMD_EEPROM
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 2
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS 3
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS 5
 #define CONFIG_CMD_I2C
 
 /* eSPI - Enhanced SPI */
-#define CONFIG_FSL_ESPI
-#define CONFIG_SPI_FLASH_SPANSION
-#define CONFIG_SPI_FLASH_EON
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED                10000000
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
index e00ab043e6545314a97d9cf0e40707704cc593b0..c3a7714294e70719b6b8bf97fb82a07613ef9038 100644 (file)
@@ -89,7 +89,6 @@
 #define CONFIG_SYS_MEMTEST_END         0x0C00000       /* 4 ... 12 MB in DRAM  */
 
 #define CONFIG_CONS_INDEX      2       /* Use UART1                    */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_serial_clock()
index 332a1df37d48874c93201100c409759dbd4e308f..1e5285cb314200d943efc58627a61da0b50c02ee 100644 (file)
 #define CONFIG_SYS_MEMTEST_END         0x0C00000       /* 4 ... 12 MB in DRAM  */
 
 #define CONFIG_CONS_INDEX      1       /* Use UART0                    */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_serial_clock()
index ffee2250bb5bae17c2805391e9280fac68565fb9..f2ea9a8c970c7a30caac2f609e4bc935f66d8ef4 100644 (file)
 #define CONFIG_SYS_SBFHDR_SIZE         0x7
 #ifdef CONFIG_CMD_SPI
 #      define CONFIG_SYS_DSPI_CS2
-#      define CONFIG_SPI_FLASH_STMICRO
 
 #      define CONFIG_SYS_DSPI_CTAR0    (DSPI_CTAR_TRSZ(7) | \
                                         DSPI_CTAR_PCSSCK_1CLK | \
index 927b7daf8a7746d45f934b92e7a0481b9952dd15..05ba13b96eaf4d0d9d06ebcac3efddaf6a0d58da 100644 (file)
 #define CONFIG_HARD_SPI
 #define CONFIG_SYS_SBFHDR_SIZE         0x7
 #ifdef CONFIG_CMD_SPI
-#      define CONFIG_SPI_FLASH_ATMEL
 
 #      define CONFIG_SYS_DSPI_CTAR0    (DSPI_CTAR_TRSZ(7) | \
                                         DSPI_CTAR_PCSSCK_1CLK | \
index 61ebb2421477c27f4e00d249a59a4871da1e6245..c778823dd5bbe4df1bdd4179dadf8f673275b966 100644 (file)
 #define CONFIG_HARD_SPI
 #define CONFIG_SYS_SBFHDR_SIZE         0x7
 #ifdef CONFIG_CMD_SPI
-#      define CONFIG_SPI_FLASH_STMICRO
 
 #      define CONFIG_SYS_DSPI_CTAR0    (DSPI_CTAR_TRSZ(7) | \
                                         DSPI_CTAR_PCSSCK_1CLK | \
index f813dab4b1771381f4bebd338791b8eff98ff7fb..794104098d6ec52a67b9344a10c49c894b7fd5b4 100644 (file)
 #define CONFIG_HARD_SPI
 #define CONFIG_SYS_SBFHDR_SIZE         0x13
 #ifdef CONFIG_CMD_SPI
-#      define CONFIG_SPI_FLASH_STMICRO
 
 #      define CONFIG_SYS_DSPI_CTAR0            (DSPI_CTAR_TRSZ(7) | \
                                         DSPI_CTAR_PCSSCK_1CLK | \
index ec62c8a0657b3dc3c5f2aac9a3ced24d00f8ae68..1a793d78dd5adddc4871f2d0202031f0f40672a0 100644 (file)
 #define CONFIG_SYS_MEMTEST_END         0x0C00000       /* 1 ... 12 MB in DRAM  */
 
 #define CONFIG_CONS_INDEX      1       /* Use UART0                    */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_serial_clock()
 #define CONFIG_MAC_PARTITION
 #define CONFIG_ISO_PARTITION /* Experimental */
 
-/************************************************************
- * Keyboard support
- ************************************************************/
-#undef CONFIG_ISA_KEYBOARD
-
 /************************************************************
  * Video support
  ************************************************************/
index 551b72d15309cff788da6bfeb19d3776209f9ce5..955ce629a1fa2e0e84206f219aef028aac0f0f31 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
 #ifdef CONFIG_MPC8XXX_SPI
 #define CONFIG_CMD_SPI
 #define CONFIG_USE_SPIFLASH
-#define CONFIG_SPI_FLASH_SPANSION
 #define CONFIG_CMD_SF
 #endif
 
index 44c3175e7d13bb91b5c5b143b036ee10c5dcd541..fa6dd6f8367e99d31145acd5bbdfb144705b26e3 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 
index eb50be609e0af7cb3a608574c0e2bc668ece59d5..ba952e33a1b448281bb5e3f4e06859f85c1f0eae 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (CONFIG_83XX_CLKIN * 2)
index 59d5e564aefe9230f1c15788068a4666ecebab37..a1d45d8396b108fff0491946fd1263e218557100 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 5ab7d5f0e8c4c3cc322cd0d7c69687b45f9a6e00..b3322ae719452eb437ad2fb2f25efb11ff1f9ed3 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 988a90091d4a403ad28f9f2315d9b08bccd1b535..71dcc6cc123fb60de5fadfa310a954fb6ad59de3 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX     1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 091ca1783e900ffecbb141e4c3098ce8d7464c0b..ded73b4c9bea709db827f8d2cf6b5bedaa0bdadc 100644 (file)
@@ -353,7 +353,6 @@ boards, we say we have two, but don't display a message if we find only one. */
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 7d1262d510c1f8672c6ab527674df002a21f1006..df478881efca121f79654c890ff2384342df5278 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index ab68e63881badbcb1f48ba9de7233f84cb9ec4be..e77848e7677bea35e90fd4a16881b5163168afb9 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 29c27fa9a75f2a8ca214fa4282b570a7e18c4226..294be3b53bfb7dd68fb095d3062c57e8552ee530 100644 (file)
  * shorted - index 1
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
  * eSPI - Enhanced SPI
  */
 #define CONFIG_HARD_SPI
-#define CONFIG_FSL_ESPI
 
 #if defined(CONFIG_SPI_FLASH)
-#define CONFIG_SPI_FLASH_SPANSION
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED        10000000
 #define CONFIG_SF_DEFAULT_MODE 0
 #define CONFIG_VGA_AS_SINGLE_DEVICE
 #define CONFIG_ATI_RADEON_FB
 #define CONFIG_VIDEO_LOGO
-/*#define CONFIG_CONSOLE_CURSOR*/
 #define CONFIG_SYS_ISA_IO_BASE_ADDRESS CONFIG_SYS_PCIE3_IO_VIRT
 #endif
 
index 157ca6966295c96abce20fa026476af1be12d8b8..921180f2094d63e64f2f5d7d65f5d76c2d77b102 100644 (file)
 
 /* Serial Port */
 #define CONFIG_CONS_INDEX     1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 68ea5d879129962b6fcdf8c456412e22a97c2c75..d5805c171797d6c102b43b64eab0655c46451802 100644 (file)
@@ -244,7 +244,6 @@ extern unsigned long get_clock_freq(void);
 
 /* Serial Port */
 #define CONFIG_CONS_INDEX     2
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 166fcda29730967d834fa8eaf92dfcd3548ee130..0fa5fd30b01e2518f6dbf892e90c8f1c0974b03c 100644 (file)
@@ -196,7 +196,6 @@ extern unsigned long get_board_sys_clk(unsigned long dummy);
  * shorted - index 1
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
@@ -297,7 +296,6 @@ extern unsigned long get_board_sys_clk(unsigned long dummy);
 #define CONFIG_VGA_AS_SINGLE_DEVICE
 #define CONFIG_ATI_RADEON_FB
 #define CONFIG_VIDEO_LOGO
-/*#define CONFIG_CONSOLE_CURSOR*/
 #define CONFIG_SYS_ISA_IO_BASE_ADDRESS VIDEO_IO_OFFSET
 #endif
 
index 517b4492ee0c17fe2ef7496376a0b7d3f1e22562..a84ebfd5d1cfa1205b52d79d4be64d72a6cf4a0d 100644 (file)
@@ -321,7 +321,6 @@ extern unsigned long get_clock_freq(void);
 
 /* Serial Port */
 #define CONFIG_CONS_INDEX      2
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 184f5146159dd9356625faebc107674550ee604e..84b8174bda1fcb9848e97477912f0e38847a3f90 100644 (file)
@@ -242,7 +242,6 @@ extern unsigned long get_clock_freq(void);
 
 /* Serial Port */
 #define CONFIG_CONS_INDEX     2
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index f6e43ce1f26bc47d500543d9a0f007533ae14284..03ba806bc56994cb4a471f2dc0e959d11dc49f30 100644 (file)
@@ -227,7 +227,6 @@ extern unsigned long get_clock_freq(void);
 
 /* Serial Port */
 #define CONFIG_CONS_INDEX              1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 6f614b0cbe3d66975bcdedc762c1b2d6d179d8b0..f86d10fb2b52c03348f0bc676af863d978218431 100644 (file)
@@ -235,7 +235,6 @@ extern unsigned long get_clock_freq(void);
 
 /* Serial Port */
 #define CONFIG_CONS_INDEX              1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 19da4775a210f326f5fabd31db2303430b67359d..b34a033f8d0b6c7e4e1267e4530cff935380abca 100644 (file)
  * shorted - index 1
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
 #define CONFIG_VGA_AS_SINGLE_DEVICE
 #define CONFIG_ATI_RADEON_FB
 #define CONFIG_VIDEO_LOGO
-/*#define CONFIG_CONSOLE_CURSOR*/
 #define CONFIG_SYS_ISA_IO_BASE_ADDRESS VIDEO_IO_OFFSET
 #endif
 
index 4ce04a84aa5092970c7631a626525543c2e84527..8160b28f784aed7fd2a1990845886e6923fbb46a 100644 (file)
 
 /* Serial Port */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 6f1535ec1ec3812a9b7c22159219a05123239bff..a84db510cb28c3c1f99553a64aae68658457320a 100644 (file)
@@ -259,7 +259,6 @@ extern unsigned long get_board_sys_clk(unsigned long dummy);
 
 /* Serial Port */
 #define CONFIG_CONS_INDEX     1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
@@ -403,7 +402,6 @@ extern unsigned long get_board_sys_clk(unsigned long dummy);
 #define CONFIG_VGA_AS_SINGLE_DEVICE
 #define CONFIG_ATI_RADEON_FB
 #define CONFIG_VIDEO_LOGO
-/*#define CONFIG_CONSOLE_CURSOR*/
 #define CONFIG_SYS_ISA_IO_BASE_ADDRESS CONFIG_SYS_PCIE2_IO_VIRT
 #endif
 
index 81b085572dc9639a2f7abf98e22c0ea03b70feb2..f9776c033306e815132d77094421036d870dbed7 100644 (file)
@@ -604,7 +604,6 @@ extern unsigned long get_sdram_size(void);
 /* Serial Port */
 #define CONFIG_CONS_INDEX      1
 #undef CONFIG_SERIAL_SOFTWARE_FIFO
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
@@ -660,7 +659,6 @@ extern unsigned long get_sdram_size(void);
 #endif
 /* enable read and write access to EEPROM */
 #define CONFIG_CMD_EEPROM
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS 3
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS 5
@@ -677,8 +675,6 @@ extern unsigned long get_sdram_size(void);
  */
 #if !defined(CONFIG_NAND) || !defined(CONFIG_NAND_SECBOOT)
 /* eSPI - Enhanced SPI */
-#define CONFIG_FSL_ESPI
-#define CONFIG_SPI_FLASH_SPANSION
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED                10000000
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
index a9d825baf5dd76845d761b5643400c5c36420e41..6235bbbc4e20d9d2f3acc26bc7a5aa5eb5e0af95 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX              1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
 /*
  * eSPI - Enhanced SPI
  */
-#define CONFIG_SPI_FLASH_SPANSION
 
 #define CONFIG_HARD_SPI
-#define CONFIG_FSL_ESPI
 
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED                10000000
index 4d87198e4379637538c17eb666fb4bece12e728f..bc479f6e08e11c2a881effc67b55454592d07827 100644 (file)
@@ -162,7 +162,6 @@ extern unsigned long get_clock_freq(void);
 /* Serial Port */
 #define CONFIG_CONS_INDEX              1
 #undef CONFIG_SERIAL_SOFTWARE_FIFO
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 2c4c8b5a026991125077c1787c5c0bf68d2cb17a..b2e51b5b2f6ebcf7f6c4d5cb3a4b0989eee0a664 100644 (file)
@@ -324,7 +324,6 @@ unsigned long get_board_sys_clk(unsigned long dummy);
  * shorted - index 1
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (get_bus_freq(0)/2)
@@ -410,8 +409,6 @@ unsigned long get_board_sys_clk(unsigned long dummy);
 /*
  * eSPI - Enhanced SPI
  */
-#define CONFIG_FSL_ESPI
-#define CONFIG_SPI_FLASH_SPANSION
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED         10000000
 #define CONFIG_SF_DEFAULT_MODE          0
index 45eecc42b05d811fa7b680082f8cc41c4833a2b3..b5959c8c07682283b1d005b03390bdac904a8b43 100644 (file)
 #define CONFIG_SYS_MEMTEST_END         0x0C00000       /* 1 ... 12 MB in DRAM  */
 
 #define CONFIG_CONS_INDEX      1       /* Use UART0                    */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_serial_clock()
 #define CONFIG_MAC_PARTITION
 #define CONFIG_ISO_PARTITION /* Experimental */
 
-/************************************************************
- * Keyboard support
- ************************************************************/
-#define CONFIG_ISA_KEYBOARD
-
 /************************************************************
  * Video support
  ************************************************************/
index d668a153793e2e46b0c1b0a8da6c13fbfb160068..4eb5fe1c61f7850b3c3a37a99c136d7a4841a6e3 100644 (file)
 #define CONFIG_SYS_MEMTEST_END         0x0C00000       /* 4 ... 12 MB in DRAM  */
 
 #define CONFIG_CONS_INDEX      1       /* Use UART0                    */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_serial_clock()
index 0fdd7e24b1cc4fc0d4982a5dc5e6a61bbcd8bc27..ce0c49f663835080c84253d544b5da43446346ea 100644 (file)
@@ -88,7 +88,6 @@
 #define CONFIG_SYS_MEMTEST_END         0x3000000 /* 1 ... 48 MB in DRAM */
 
 #define CONFIG_CONS_INDEX      2       /* Use UART1                    */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_serial_clock()
index 022764932d7c04e745fa4e980b0f44e60ca05cc8..05ad3150381cb9798b091207b983c11a1758aa78 100644 (file)
@@ -84,7 +84,6 @@
  * Serial Port
  *----------------------------------------------------------------------*/
 #define CONFIG_CONS_INDEX      1       /* Use UART0                    */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_serial_clock()
 #define CONFIG_SYS_I2C_PPC4XX_SPEED_1          400000
 #define CONFIG_SYS_I2C_PPC4XX_SLAVE_1          0x7F
 
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 
 #define CONFIG_SYS_I2C_EEPROM_ADDR             0x54
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN         2
index 52942edbd416986c3a37072a7a283668af5b546f..951cbc4f57cfe701b7a812176fe8ebe7dc5de0be 100644 (file)
@@ -495,7 +495,6 @@ unsigned long get_board_ddr_clk(void);
 
 /* Serial Port */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (get_bus_freq(0)/2)
@@ -579,11 +578,7 @@ unsigned long get_board_ddr_clk(void);
 /*
  * eSPI - Enhanced SPI
  */
-#define CONFIG_FSL_ESPI
-#define CONFIG_SPI_FLASH_STMICRO
 #ifndef CONFIG_SPL_BUILD
-#define CONFIG_SPI_FLASH_SST
-#define CONFIG_SPI_FLASH_EON
 #endif
 #define CONFIG_CMD_SF
 #define CONFIG_SPI_FLASH_BAR
index 39fa5e2b1898493730c6398d3cbc7592efc2e27a..4a0f5b252454658623c6c1219323ccf965f69d23 100644 (file)
@@ -496,7 +496,6 @@ unsigned long get_board_ddr_clk(void);
 
 /* Serial Port */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (get_bus_freq(0)/2)
@@ -568,11 +567,8 @@ unsigned long get_board_ddr_clk(void);
 /*
  * eSPI - Enhanced SPI
  */
-#define CONFIG_FSL_ESPI
 #if defined(CONFIG_T1024RDB)
-#define CONFIG_SPI_FLASH_STMICRO
 #elif defined(CONFIG_T1023RDB)
-#define CONFIG_SPI_FLASH_SPANSION
 #endif
 #define CONFIG_CMD_SF
 #define CONFIG_SPI_FLASH_BAR
index fc263dfcb63bd547476249ae0f3dd7c5aaaa8519..9e151da16a48bc3a5883693b1aea18c69b582b52 100644 (file)
@@ -398,7 +398,6 @@ unsigned long get_board_ddr_clk(void);
  * shorted - index 1
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (get_bus_freq(0)/2)
@@ -483,10 +482,6 @@ unsigned long get_board_ddr_clk(void);
 /*
  * eSPI - Enhanced SPI
  */
-#define CONFIG_FSL_ESPI
-#define CONFIG_SPI_FLASH_STMICRO
-#define CONFIG_SPI_FLASH_SST
-#define CONFIG_SPI_FLASH_EON
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED         10000000
 #define CONFIG_SF_DEFAULT_MODE          0
index 840be047cd96b7980b85396104a387f79f7c1aa1..da65f567ea8339599e8108acbbbf1af42e4e7e9f 100644 (file)
@@ -450,7 +450,6 @@ $(SRCTREE)/board/freescale/t104xrdb/t1042d4_rcw.cfg
  * shorted - index 1
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (get_bus_freq(0)/2)
@@ -538,8 +537,6 @@ $(SRCTREE)/board/freescale/t104xrdb/t1042d4_rcw.cfg
 /*
  * eSPI - Enhanced SPI
  */
-#define CONFIG_FSL_ESPI
-#define CONFIG_SPI_FLASH_STMICRO
 #define CONFIG_SPI_FLASH_BAR
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED         10000000
index a81f1e66f3fc95ff2bf1b53fcf413126824b9688..a0cecc60cdc34d68f3516e9369ad682d7c25cba4 100644 (file)
@@ -445,7 +445,6 @@ unsigned long get_board_ddr_clk(void);
  * Serial Port
  */
 #define CONFIG_CONS_INDEX              1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (get_bus_freq(0)/2)
@@ -551,11 +550,7 @@ unsigned long get_board_ddr_clk(void);
  * eSPI - Enhanced SPI
  */
 #ifdef CONFIG_SPI_FLASH
-#define CONFIG_FSL_ESPI
-#define CONFIG_SPI_FLASH_STMICRO
 #ifndef CONFIG_SPL_BUILD
-#define CONFIG_SPI_FLASH_SST
-#define CONFIG_SPI_FLASH_EON
 #endif
 
 #define CONFIG_CMD_SF
index 8b762346ac2679ae7001f593f3830ffb26bbffa0..312b0eb91f7bf1e637d168dc012842f6f0d44867 100644 (file)
@@ -405,7 +405,6 @@ unsigned long get_board_ddr_clk(void);
  * Serial Port
  */
 #define CONFIG_CONS_INDEX              1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (get_bus_freq(0)/2)
@@ -505,8 +504,6 @@ unsigned long get_board_ddr_clk(void);
  * eSPI - Enhanced SPI
  */
 #ifdef CONFIG_SPI_FLASH
-#define CONFIG_FSL_ESPI
-#define CONFIG_SPI_FLASH_STMICRO
 #define CONFIG_SPI_FLASH_BAR
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED         10000000
index 4edb3cb91f35ddfaa08d5912259e4e402104693c..1b94f6436c8773ecb9f0cd3e782bd5db1c3c1a5b 100644 (file)
@@ -401,8 +401,6 @@ unsigned long get_board_ddr_clk(void);
 /*
  * eSPI - Enhanced SPI
  */
-#define CONFIG_FSL_ESPI
-#define CONFIG_SPI_FLASH_SST
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED         10000000
 #define CONFIG_SF_DEFAULT_MODE          0
index 2e875d2fc1284d116756adf9632c8c1f826da742..73279c899e8cbc64dd5e2066ec98132697caee46 100644 (file)
  * shorted - index 1
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (get_bus_freq(0)/2)
@@ -610,8 +609,6 @@ unsigned long get_board_ddr_clk(void);
 /*
  * eSPI - Enhanced SPI
  */
-#define CONFIG_FSL_ESPI
-#define CONFIG_SPI_FLASH_SST
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED         10000000
 #define CONFIG_SF_DEFAULT_MODE          0
index 0b046d13fbb4360a6dc8b8181c05112b3081d0d0..58a17f5a03e111b8669fe1f22bb5694dc9d853b5 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN         2       /* 16 bit */
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS      5       /* 32 bytes/write */
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS  12      /* 10ms +/- 20% */
-#define CONFIG_SYS_I2C_MULTI_EEPROMS           /* more than one eeprom */
 
 /* I2C RTC */
 #define CONFIG_RTC_DS1337                      /* use ds1337 rtc via i2c */
index ad2f115c56645274ed6ee9498b3a814f45754d6c..235400923201aaa40f7eb336bc022013b842f53d 100644 (file)
  */
 #define CONFIG_CONS_INDEX              1
 #undef CONFIG_SERIAL_SOFTWARE_FIFO
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
  * eSPI - Enhanced SPI
  */
 #define CONFIG_HARD_SPI
-#define CONFIG_FSL_ESPI
 
-#define CONFIG_SPI_FLASH_SST           1
-#define CONFIG_SPI_FLASH_STMICRO       1
-#define CONFIG_SPI_FLASH_WINBOND       1
 #define CONFIG_CMD_SF                  1
 #define CONFIG_CMD_SPI                 1
 #define CONFIG_SF_DEFAULT_SPEED                10000000
index 60f266c34580d597909e6d291b7f1e50d8601f59..6cbf1b7b2eaa2ab27356b69bfcfba23d4e85e4f4 100644 (file)
@@ -99,7 +99,6 @@
 #define CONFIG_SYS_MEMTEST_END         0x0C00000       /* 4 ... 12 MB in DRAM  */
 
 #define CONFIG_CONS_INDEX      1       /* Use UART0                    */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_serial_clock()
index 4dd5720d2f5b2dd19461a628a7ec55343fa2e350..2f53d736cb48b757911fe3d6ef26fce0b5f8078d 100644 (file)
  *----------------------------------------------------------------------*/
 #define CONFIG_SYS_I2C_PPC4XX_SPEED_0          400000
 
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR     (0xa8>>1)
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS 3
index 849b08e7ba8d77bd63471c587f07a48ee0d29456..2499b39c16da9a1364af9b94c14e957921b68e16 100644 (file)
@@ -87,7 +87,6 @@
 /* FTUART is a high speed NS 16C550A compatible UART, addr: 0x99600000 */
 #define CONFIG_BAUDRATE                        38400
 #define CONFIG_CONS_INDEX              1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_COM1                CONFIG_FTUART010_02_BASE
 #define CONFIG_SYS_NS16550_REG_SIZE    -4
index 6d2c242b950ba4168ca653ea0839868058fa1fd1..6bd2983bbcaf610f6586177e3589a86819e55d73 100644 (file)
@@ -42,7 +42,6 @@
 /* FLASH */
 #define CONFIG_SPI
 #define CONFIG_SH_QSPI
-#define CONFIG_SPI_FLASH_SPANSION
 #define CONFIG_SPI_FLASH_QUAD
 #define CONFIG_SYS_NO_FLASH
 
index ed3fd344881ed53c55ac35a104459d673a91b0f2..c51db8c5c33788bcf6277c83ae9b464b109282ab 100644 (file)
 #define CONFIG_ENV_EEPROM_IS_ON_I2C
 #define CONFIG_SYS_I2C_EEPROM_ADDR     0x50    /* Main EEPROM */
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 2
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 
 /* PMIC support */
 #define CONFIG_POWER_TPS65217
 
 /* SPI flash. */
 #define CONFIG_CMD_SF
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_SF_DEFAULT_SPEED                24000000
 
 /* Network. */
index 0bf0af7f3d010beff9836cb57da9ee53266c59ee..4ed8e00e05c5f853d97349cddc6ef9c3031692ba 100644 (file)
@@ -66,7 +66,6 @@
  */
 #define V_NS16550_CLK                  48000000        /* 48MHz (APLL96/2) */
 
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
 #define CONFIG_SYS_NS16550_CLK         V_NS16550_CLK
index 9aa14f43fb24d16c63e0adf90eeb7ca87722a2d4..23457d6931e98f1b214ba3477f570b9622b0b89b 100644 (file)
@@ -72,7 +72,6 @@
  */
 #define V_NS16550_CLK                  48000000        /* 48MHz (APLL96/2) */
 
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
 #define CONFIG_SYS_NS16550_CLK         V_NS16550_CLK
index b02abd389edbe5ea86523cf34f5ec9a56d1edea6..aac550a477af5f13598d41463b2affe1a55c897f 100644 (file)
 #include <asm/arch/omap.h>
 
 /* NS16550 Configuration */
-#define CONFIG_SYS_NS16550
+#define CONFIG_SYS_NS16550_CLK         48000000
 #if defined(CONFIG_SPL_BUILD) || !defined(CONFIG_DM_SERIAL)
 #define CONFIG_SYS_NS16550_SERIAL
-#define CONFIG_SYS_NS16550_CLK         48000000
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
-#else
-#define CONFIG_OMAP_SERIAL
 #endif
 
 /* I2C Configuration */
@@ -36,7 +33,6 @@
 #define CONFIG_ENV_EEPROM_IS_ON_I2C
 #define CONFIG_SYS_I2C_EEPROM_ADDR     0x50    /* Main EEPROM */
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 2
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 
 /* Power */
 #define CONFIG_POWER
 
 /* SPI */
 #undef CONFIG_OMAP3_SPI
-#define CONFIG_TI_QSPI
-#define CONFIG_SPI_FLASH_MACRONIX
 #define CONFIG_CMD_SF
 #define CONFIG_CMD_SPI
 #define CONFIG_TI_SPI_MMAP
 #define CONFIG_QSPI_SEL_GPIO                   48
 #define CONFIG_SF_DEFAULT_SPEED                48000000
 #define CONFIG_DEFAULT_SPI_MODE                SPI_MODE_3
+#define CONFIG_QSPI_QUAD_SUPPORT
+#define CONFIG_TI_EDMA3
 
 /* Enhance our eMMC support / experience. */
 #define CONFIG_CMD_GPT
index e87c0cb5d9c32953fe1d7eaa1547bcc77d92038f..78d8044e6850cca02c9513b595497db09a4dd116 100644 (file)
@@ -19,7 +19,6 @@
 /*
  * UART
  */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #ifndef CONFIG_DM_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
index f03297e137762199fcdddb85683748266b5197f4..0b97cccc58226c4f5634a0f77dc53d219bca7c0d 100644 (file)
@@ -43,7 +43,6 @@
 
 #define CONFIG_CMD_SF
 #define CONFIG_SPI_FLASH_MTD
-#define CONFIG_SPI_FLASH_STMICRO
 #define CONFIG_MXC_SPI
 #define CONFIG_SF_DEFAULT_SPEED                20000000
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
index 8fa5ff5fc00542d73bdb653a71aeb12677c07f4d..ba91d1f11f8475c0ad0bad873f0345e22b84a96e 100644 (file)
@@ -93,7 +93,6 @@
 /* DataFlash */
 #ifdef CONFIG_CMD_SF
 #define CONFIG_ATMEL_SPI
-#define CONFIG_SPI_FLASH_ATMEL
 #define CONFIG_SF_DEFAULT_SPEED                30000000
 #define CONFIG_ENV_SPI_MODE            SPI_MODE_3
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_3
index 20a5581cf2b5e4e32bd98ed2f29339b05c50658f..45bb861922c8c3ae551b3029f5d89d1296b6b843 100644 (file)
@@ -92,7 +92,6 @@
 /* DataFlash */
 #ifdef CONFIG_CMD_SF
 #define CONFIG_ATMEL_SPI
-#define CONFIG_SPI_FLASH_ATMEL
 #define CONFIG_SF_DEFAULT_SPEED                30000000
 #endif
 
index c436fef7b5c3ce1c6ba1f577ccd9223b6c7a6018..11d7d0c00db6f950cabbf84dc4fcbdb04e7f251c 100644 (file)
 #define CONFIG_GENERIC_MMC
 #define CONFIG_ATMEL_SPI
 
-#define CONFIG_SPI_FLASH_ATMEL
 
 #define CONFIG_SYS_DCACHE_LINESZ               32
 #define CONFIG_SYS_ICACHE_LINESZ               32
index 39358113d2b2737f15126d56b04bfd2ac39f244c..0dcc192b4af8432c808896cc45bac17ca63fc860 100644 (file)
 #define CONFIG_GENERIC_MMC
 #define CONFIG_ATMEL_SPI
 
-#define CONFIG_SPI_FLASH_ATMEL
 
 #define CONFIG_SYS_DCACHE_LINESZ       32
 #define CONFIG_SYS_ICACHE_LINESZ       32
index 9a7e0dcf9066740cc82bf92e3fd55c6ab06d0e14..650d97d17f23e9d8c8f18a5b2c0f68fbf23bf0a9 100644 (file)
@@ -52,7 +52,6 @@
  * UART configuration
  */
 #define CONFIG_DW_SERIAL
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_CLK         33333333
 #define CONFIG_SYS_NS16550_MEM32
@@ -79,7 +78,6 @@
 /*
  * EEPROM configuration
  */
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR             (0xA8 >> 1)
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN         1
 #define CONFIG_SYS_I2C_EEPROM_ADDR_OVERFLOW    1
index 6ba4aaf8cb78c584cb1f3187bf52417ebdd8276f..5b8b22f0e643f3dcf306636c0c7cea923050ba0e 100644 (file)
  *----------------------------------------------------------------------*/
 #define CONFIG_SYS_I2C_PPC4XX_SPEED_0          400000
 
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR     (0xa8>>1)
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS 3
index 9917627fc0b364f30769daea4441b3e7b188bf2d..943ba170022a9556f5adb6f1896bf5dcb9c8acbf 100644 (file)
@@ -580,7 +580,6 @@ DEFAULT_LINUX_BOOT_ENV \
 
 /* SPI flash. */
 #define CONFIG_CMD_SF
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_SF_DEFAULT_SPEED                24000000
 
 /* Network. */
index b102c689e27b4482bb9b37281d44c4aa30e25b4c..92326e1d0abdc62124fbedfce4463f9210cc4fa2 100644 (file)
@@ -32,9 +32,6 @@
 #define CONFIG_MMC_SDMA
 #define CONFIG_CMD_MMC
 
-/* BayTrail IGD support */
-#define CONFIG_VGA_AS_SINGLE_DEVICE
-
 /* Environment configuration */
 #define CONFIG_ENV_SECT_SIZE           0x1000
 #define CONFIG_ENV_OFFSET              0x006ff000
index 72479070be9bc89cb423821bb81832b47b09d670..131f613b6896c3ca1db8a87b8d8438e0f1af9e7b 100644 (file)
@@ -79,7 +79,6 @@
 #define CONFIG_SYS_INIT_SP_ADDR                CONFIG_SYS_TEXT_BASE
 
 /* Serial Info */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 /* Post pad 3 bytes after each reg addr */
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
index c3ebb4d1163dc139007d563b9a9cee8369220939..305864f8b873d0a4826fbb55331b4343e176edbf 100644 (file)
@@ -45,7 +45,6 @@
 #define CONFIG_ENV_OVERWRITE
 
 /* Serial Info */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 
 #define CONFIG_BAUDRATE                        115200
index 1790f60092ca9b4c1815e7a08fc45c37f639040d..bf7b96b5f3d5cb1556cbde436f0f8bb93f5ab7d9 100644 (file)
@@ -51,9 +51,7 @@
 #define CONFIG_SYS_MMC_ENV_PART                2
 
 /* SPI */
-#define CONFIG_TEGRA20_SLINK
 #define CONFIG_TEGRA_SLINK_CTRLS       6
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
 #define CONFIG_SF_DEFAULT_SPEED        24000000
 #define CONFIG_CMD_SPI
index 64db3acd2fb1bb8cea39adb023673736c801d32f..597f1cd5d1ba139e7565f1fb01a418949cb69e17 100644 (file)
@@ -73,7 +73,6 @@
 #define CONFIG_ENV_SPI_MAX_HZ  30000000
 #define CONFIG_SF_DEFAULT_SPEED        30000000
 /*
-#define CONFIG_SPI_FLASH_STMICRO
 #define CONFIG_CMD_SF
 #define CONFIG_CMD_SPI
 */
index b7ceba40cb9ab83608dbc17334315e8dec5d75ad..84bb044421ee889d795aa89ace5e260f02b4222f 100644 (file)
 #define CONFIG_BFIN_SPI
 #define CONFIG_ENV_SPI_MAX_HZ  30000000
 #define CONFIG_SF_DEFAULT_SPEED        30000000
-#define CONFIG_SPI_FLASH_SST
-#define CONFIG_SPI_FLASH_STMICRO
 
 
 /*
index fce6fc2e12a319ea9d9facd064056f89aa3f5c1f..d12963a5f0b35ef017fab877f6adb18709f7ad82 100644 (file)
@@ -69,7 +69,6 @@
 #define CONFIG_BFIN_SPI
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_HZ   30000000
-#define CONFIG_SPI_FLASH_EON
 
 #define CONFIG_ENV_IS_IN_SPI_FLASH
 #define CONFIG_ENV_SPI_MAX_HZ  30000000
index a8f0979624adcda6877a99e92967bbf00c7e756c..35a2228a6b871f2083196b6b8718b4786bb78435 100644 (file)
 #define CONFIG_BFIN_SPI
 #define CONFIG_ENV_SPI_MAX_HZ  30000000
 #define CONFIG_SF_DEFAULT_SPEED        30000000
-#define CONFIG_SPI_FLASH_SST
 
 
 /*
index 1c5fc9e4929814a8589183b8d730725d2be294c1..2f3dec5b09afc8340ca58804a7fcd23ae72c7a9e 100644 (file)
@@ -89,7 +89,6 @@
 #define CONFIG_BFIN_SPI
 #define CONFIG_ENV_SPI_MAX_HZ  30000000
 #define CONFIG_SF_DEFAULT_SPEED        30000000
-#define CONFIG_SPI_FLASH_STMICRO
 
 
 /*
index d23602bb32969f185555a8c17c2406b84cd2e1ba..aee776132c6abc6ed9ea665218facd925ed9c84a 100644 (file)
 #define CONFIG_BFIN_SPI
 #define CONFIG_ENV_SPI_MAX_HZ  30000000
 #define CONFIG_SF_DEFAULT_SPEED        30000000
-#define CONFIG_SPI_FLASH_STMICRO
 
 
 /*
index c57c8628bf904fec9cd0b3fd767c688656d13fe6..4fbdca7239a914ca610c94c576106bd0b1ad1d75 100644 (file)
 #define CONFIG_BFIN_SPI
 #define CONFIG_ENV_SPI_MAX_HZ  30000000
 #define CONFIG_SF_DEFAULT_SPEED        30000000
-#define CONFIG_SPI_FLASH_STMICRO
 
 
 /*
index e922bd5637bfe09f6c8b363338441dc07fd751e1..2474adb55576cb3ccc232f313f4ffbb54436096a 100644 (file)
@@ -82,7 +82,6 @@
 #define CONFIG_BFIN_SPI
 #define CONFIG_ENV_SPI_MAX_HZ  30000000
 #define CONFIG_SF_DEFAULT_SPEED        30000000
-#define CONFIG_SPI_FLASH_STMICRO
 
 
 /*
index 90aeeec5d1e5744d973b233ab78618b51e6dfc98..89d26043da485ede05077c344155d67635ee122c 100644 (file)
 #define CONFIG_BFIN_SPI
 #define CONFIG_ENV_SPI_MAX_HZ  30000000
 #define CONFIG_SF_DEFAULT_SPEED        30000000
-#define CONFIG_SPI_FLASH_STMICRO
 
 
 /*
index f0f768ac092a5b5d10727d2b9392dd5345fc7c5c..65009c6f28df6061ae8b45196491fb5007e81067 100644 (file)
@@ -92,7 +92,6 @@
 #define CONFIG_BFIN_SPI
 #define CONFIG_ENV_SPI_MAX_HZ  30000000
 #define CONFIG_SF_DEFAULT_SPEED        30000000
-#define CONFIG_SPI_FLASH_STMICRO
 
 
 /*
index b3c6d299959e80b87e232f1757e7edef55949a0b..92251fc0bded0825dcaff3092b9be88f54291050 100644 (file)
 #define CONFIG_BFIN_SPI
 #define CONFIG_ENV_SPI_MAX_HZ          10000000
 #define CONFIG_SF_DEFAULT_SPEED                10000000
-#define CONFIG_SPI_FLASH_ATMEL
 
 
 /*
index 91a84feaf418b2b4f46e8419353a786f1372d7a4..9c537e0a58944a984da1f7ad3a9f6509883e24d0 100644 (file)
  * SPI Settings
  */
 #ifdef CONFIG_SPI_FLASH_ALL
-# define CONFIG_SPI_FLASH_ATMEL
-# define CONFIG_SPI_FLASH_EON
-# define CONFIG_SPI_FLASH_MACRONIX
-# define CONFIG_SPI_FLASH_SPANSION
-# define CONFIG_SPI_FLASH_SST
-# define CONFIG_SPI_FLASH_STMICRO
-# define CONFIG_SPI_FLASH_WINBOND
 #endif
 
 /*
index 9abbc39f83428ad41bacab5124caf34361c1195e..05c932f51e690dec205af1fcfcd8c7a8806f723d 100644 (file)
@@ -48,7 +48,6 @@
 
 /* SPI FLASH */
 #ifdef CONFIG_CMD_SF
-#define CONFIG_SPI_FLASH_STMICRO
 #define CONFIG_SF_DEFAULT_BUS          2
 #define CONFIG_SF_DEFAULT_CS           0
 #define CONFIG_SF_DEFAULT_SPEED                40000000
index 3b7a47f8d6c5aaffc9d6e140b5524679210bde6e..60650aa77bb733cec0a9fc4a10a929dc13387702 100644 (file)
 /* For the M25P64 SCK Should be Kept < 15Mhz */
 #define CONFIG_ENV_SPI_MAX_HZ  15000000
 #define CONFIG_SF_DEFAULT_SPEED        15000000
-#define CONFIG_SPI_FLASH_STMICRO
 
 /*
  * FLASH organization and environment definitions
index 5b15e0efba75e3740b9a583b242d94786f13046f..16949aa9293c42cb30fec75bbbb3aac5f26dff3d 100644 (file)
 
 #define CONFIG_ENV_SPI_MAX_HZ  15000000
 #define CONFIG_SF_DEFAULT_SPEED        15000000
-#define CONFIG_SPI_FLASH_STMICRO
 
 /*
  * Interactive command settings
index 959f9a92f02adef4763ed32d4b79305aac898f31..7dda472fe7e5f0ae2448d43e2ccbb4a7e8124546 100644 (file)
@@ -82,7 +82,6 @@
 #define CONFIG_BFIN_SPI
 #define CONFIG_ENV_SPI_MAX_HZ  30000000
 #define CONFIG_SF_DEFAULT_SPEED        30000000
-#define CONFIG_SPI_FLASH_STMICRO
 
 
 /*
index c9eb63ecac8c4a1442648bcf30c09834624af73d..ffc6811bb91a86640535ac067a5a938e8c7fab86 100644 (file)
@@ -46,7 +46,6 @@
 #include <asm/arch/omap.h>
 
 /* NS16550 Configuration */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
 #define CONFIG_SYS_NS16550_CLK         48000000
index 7f942dcd11cfc5d8c15075dd50e87404ac1c715e..e6b2d4d022691080fca06de91f227172c2ca546f 100644 (file)
 /*
  * Serial Driver info
  */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    -4      /* NS16550 register size */
 #define CONFIG_SYS_NS16550_COM1        DAVINCI_UART2_BASE /* Base address of UART2 */
index ed790ccaf3a83594273d36ae86f25a5700b8584f..e136824f0cd5a2a37d4f746d2c74dbb56c3773d5 100644 (file)
  *----------------------------------------------------------------------*/
 #define CONFIG_SYS_I2C_PPC4XX_SPEED_0          400000
 
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR             (0xa8>>1)
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN         1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS      3
index ce6b1582ef038550b65a8e11e74ce88406de673d..9a8c989b769611f58013fde5ed38427f21438257 100644 (file)
@@ -54,9 +54,7 @@
 #define CONFIG_SYS_MMC_ENV_PART                2
 
 /* SPI */
-#define CONFIG_TEGRA20_SLINK
 #define CONFIG_TEGRA_SLINK_CTRLS       6
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
 #define CONFIG_SF_DEFAULT_SPEED        24000000
 #define CONFIG_CMD_SPI
index dc732b810f9c29a3d47c97a5a2a5ab657186d1d0..00fe26da2965ed48feb9e2b32d7305968084d6b5 100644 (file)
@@ -14,6 +14,4 @@
 /* Avoid a warning in the Realtek Ethernet driver */
 #define CONFIG_SYS_CACHELINE_SIZE 16
 
-#define CONFIG_VGA_AS_SINGLE_DEVICE
-
 #endif /* __CONFIG_H */
index 5f2f02027925167da22a0b2bcc7e98b43c12928b..2dc745e59ff7f1ed4602260b03b12a128ea69c45 100644 (file)
@@ -70,7 +70,6 @@
  */
 #define V_NS16550_CLK                  48000000        /* 48MHz (APLL96/2) */
 
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
 #define CONFIG_SYS_NS16550_CLK         V_NS16550_CLK
index 1c086fc72265ee096a2c8df57df956ce501cb6b2..0aefec8a004ec1d8bf67c7e55bab875efe152940 100644 (file)
@@ -77,7 +77,6 @@
 /*
  * NS16550 Configuration
  */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
 #define CONFIG_SYS_NS16550_CLK         48000000        /* 48MHz (APLL96/2) */
index c4d3b94ee58bb65dfe3f9cae096ec35d12758e2c..d3cd38d70f33fa16748f352191850ed9c32f1618 100644 (file)
@@ -18,9 +18,7 @@
 #include <asm/arch/omap.h>
 
 /* Serial support */
-#define CONFIG_OMAP_SERIAL
 #define CONFIG_DM_SERIAL
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_CLK         48000000
 #define CONFIG_SYS_NS16550_COM1                0x44e09000
 
 /* SPI Flash support */
 #define CONFIG_SPI_FLASH
-#define CONFIG_SPI_FLASH_MACRONIX
-#define CONFIG_SPI_FLASH_ATMEL
-#define CONFIG_SPI_FLASH_EON
-#define CONFIG_SPI_FLASH_GIGADEVICE
-#define CONFIG_SPI_FLASH_SPANSION
-#define CONFIG_SPI_FLASH_STMICRO
-#define CONFIG_SPI_FLASH_SST
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_TI_SPI_MMAP
 #define CONFIG_SPI_FLASH_BAR
 #define CONFIG_SF_DEFAULT_SPEED                48000000
index 9135d6c624ccde198ced3c5255160eef8a2b371b..708c79af9735fde80f69a59e4d62821ce6046489 100644 (file)
 #ifdef CONFIG_OF_CONTROL
 #define CONFIG_DM_SPI
 #define CONFIG_CMD_SPI
-#define CONFIG_FSL_DSPI
 #endif
 
 #endif /* __CONFIG_H */
index 600bb835cefca51fcaa26b2bfba3c1f2fbef58a3..641aa7ce42cd45332fe3f4806673629a48e5c60d 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX              2
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
  * eSPI - Enhanced SPI
  */
 #define CONFIG_HARD_SPI
-#define CONFIG_FSL_ESPI
 
-#define CONFIG_SPI_FLASH_STMICRO
 
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED                10000000
index 921021634df7347892a67cf8dfb53c4f353e3940..aef37dd670b178daf1dd4317d96b5ac4df3c584e 100644 (file)
  * shorted - index 1
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (get_bus_freq(0)/2)
 /*
  * eSPI - Enhanced SPI
  */
-#define CONFIG_FSL_ESPI
-#define CONFIG_SPI_FLASH_SPANSION
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED         10000000
 #define CONFIG_SF_DEFAULT_MODE          0
index 54a2905c1d62532977d1e6143b009c8cea45eb92..ffd65d54393abc9aec9f28c52f8093dd64832bc4 100644 (file)
 
 #define CONFIG_PCI_PNP
 
-#define CONFIG_STD_DEVICES_SETTINGS    "stdin=serial,vga,usbkbd\0" \
+#define CONFIG_STD_DEVICES_SETTINGS    "stdin=serial,i8042-kbd,usbkbd\0" \
                                        "stdout=serial,vga\0" \
                                        "stderr=serial,vga\0"
 
 #define CONFIG_SCSI_DEV_LIST           \
        {PCI_VENDOR_ID_INTEL, PCI_DEVICE_ID_INTEL_TCF_SATA}
 
-#define CONFIG_SPI_FLASH_SST
 
 #define CONFIG_MMC
 #define CONFIG_SDHCI
index 5d25fb1400e0a284f986dc73c0ed194a701c299e..bc7cac4b90eac3e3420169509061778146719648 100644 (file)
  * shorted - index 1
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (get_bus_freq(0)/2)
 /*
  * eSPI - Enhanced SPI
  */
-#define CONFIG_FSL_ESPI
 
 /*
  * General PCI
index 5aa643ac6aa7f7d3d14d6f2d809416da71bc39fe..63abb80e9665ed587ac5429ad84c6b3077e777e9 100644 (file)
 /*
  * Serial Driver info
  */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    -4      /* NS16550 register size */
 #define CONFIG_SYS_NS16550_COM1        DAVINCI_UART2_BASE /* Base address of UART2 */
 #define CONFIG_BAUDRATE                115200          /* Default baud rate */
 
 #define CONFIG_SPI
-#define CONFIG_SPI_FLASH_STMICRO
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_CMD_SF
 #define CONFIG_DAVINCI_SPI
 #define CONFIG_SYS_SPI_BASE            DAVINCI_SPI1_BASE
index 2251f591ebf46ef76270965227212b0fe270de26..944e82f55f66fa8659df4e95efa9f9f77e43ec0d 100644 (file)
@@ -49,7 +49,6 @@
 #define MACH_TYPE_DALMORE      4304    /* not yet in mach-types.h */
 
 /* SPI */
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
 #define CONFIG_SF_DEFAULT_SPEED        24000000
 #define CONFIG_CMD_SPI
index 9a0596c5118c90e2f7aa2ed937a2cfabf76f1efb..3673e5e3687f3599c80fe663e123f2fd4e90efc2 100644 (file)
@@ -60,7 +60,6 @@
 /* SPI NOR flash default params, used by sf commands */
 #define CONFIG_SF_DEFAULT_SPEED                1000000
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_3
-#define CONFIG_SPI_FLASH_STMICRO
 
 /*
  * SDIO/MMC Card Configuration
index b3b2de942f34f87f6522b1f3b7deca12230e3275..ab6e5a5bce19f741c38eaffc85ddf8df3456fd09 100644 (file)
@@ -56,7 +56,6 @@
 /* SPI NOR flash default params, used by sf commands */
 #define CONFIG_SF_DEFAULT_SPEED                1000000
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_3
-#define CONFIG_SPI_FLASH_STMICRO
 
 /* Environment in SPI NOR flash */
 #define CONFIG_ENV_IS_IN_SPI_FLASH
index cb9544b49efba0b6d513ede33d36d73852ced135..81070b1fd0d26e74e425d6d24d7537c207510018 100644 (file)
 
 /* SPI */
 #undef CONFIG_OMAP3_SPI
-#define CONFIG_TI_QSPI
-#define CONFIG_SPI_FLASH_SPANSION
 #define CONFIG_CMD_SF
 #define CONFIG_CMD_SPI
 #define CONFIG_TI_SPI_MMAP
index 3f35616bcaa82b99de21b840fc77de7fef43274a..0b7d89b98d1ee6abce02013fd7534fb7d837af17 100644 (file)
@@ -61,7 +61,6 @@
 #ifdef CONFIG_CMD_SF
 #define CONFIG_HARD_SPI                        1
 #define CONFIG_KIRKWOOD_SPI            1
-#define CONFIG_SPI_FLASH_MACRONIX      1
 #define CONFIG_ENV_SPI_BUS             0
 #define CONFIG_ENV_SPI_CS              0
 #define CONFIG_ENV_SPI_MAX_HZ          50000000 /* 50 MHz */
index 998a69aba1060a799ee5151035805f8133fe8770..18a63d7ec71446e5b8c4302ec777093e9b9f2dc7 100644 (file)
@@ -35,7 +35,6 @@
 #define CONFIG_ENV_OFFSET              (-CONFIG_ENV_SIZE)
 
 /* SPI */
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
 #define CONFIG_SF_DEFAULT_SPEED                24000000
 #define CONFIG_CMD_SPI
index 53ad302561be2e94dc87efef364e70417e58583c..10b30c17cf8e20842156c01d57d4200320f889a8 100644 (file)
@@ -58,7 +58,6 @@
 /*
  * Serial Driver info
  */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    -4      /* NS16550 register size */
 #define CONFIG_SYS_NS16550_COM1        DAVINCI_UART0_BASE /* Base address of UART0 */
@@ -67,7 +66,6 @@
 #define CONFIG_BAUDRATE                115200          /* Default baud rate */
 
 #define CONFIG_SPI
-#define CONFIG_SPI_FLASH_STMICRO
 #define CONFIG_DAVINCI_SPI
 #define CONFIG_SYS_SPI_BASE            DAVINCI_SPI1_BASE
 #define CONFIG_SYS_SPI_CLK             clk_get(DAVINCI_SPI1_CLKID)
index 7fb1894c58e11b9977b57a9cbd922bd6e1ceeee1..1dfa721f73c3d643aaa21b6a9c8d847019640cd3 100644 (file)
@@ -88,7 +88,6 @@
  * NS16550 Configuration
  */
 
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
 #define CONFIG_SYS_NS16550_CLK         CONFIG_SYS_TCLK
index 1c955d9e37a69f2281465d8f6476a6a6734535c9..258a83f9de845f80a77c5143551ff25c3f85d2d8 100644 (file)
@@ -15,7 +15,6 @@
 
 #undef CONFIG_CMD_IMLS
 
-#undef CONFIG_SYS_NS16550
 #undef CONFIG_X86_SERIAL
 #undef CONFIG_ENV_IS_IN_SPI_FLASH
 #define CONFIG_ENV_IS_NOWHERE
index f55ba9f7066ba5649891823c8f4689dcea3b782c..90cd95929fa67e96b82581bd1977618968d3bac5 100644 (file)
@@ -65,7 +65,6 @@
 
 #define CONFIG_CMD_SF
 #ifdef CONFIG_CMD_SF
-#define CONFIG_SPI_FLASH_SST
 #define CONFIG_MXC_SPI
 #define CONFIG_SF_DEFAULT_BUS          0
 #define CONFIG_SF_DEFAULT_CS           0
index d6e04568889004176647d5c5a8c1cc84fc47aec3..23a29358066e163ff7e1b519b187c96485ad5ba3 100644 (file)
@@ -59,7 +59,6 @@
 /* 512kB DataFlash at NPCS0 */
 #define CONFIG_SYS_MAX_DATAFLASH_BANKS 1
 #define CONFIG_HAS_DATAFLASH
-#define CONFIG_SPI_FLASH_ATMEL
 #define CONFIG_ATMEL_DATAFLASH_SPI
 #define CONFIG_SYS_DATAFLASH_LOGIC_ADDR_CS0    0xC0000000
 #define DATAFLASH_TCSS                 (0x1a << 16)
index 5d2b4f320e3ff89db16ecc19d37f02c6f3d2a203..9c3ea883ff34d5d570a1d110430b963d10c73e8a 100644 (file)
 
 /* SPI */
 #ifdef CONFIG_SPI_FLASH
-#define CONFIG_EXYNOS_SPI
 #define CONFIG_CMD_SF
 #define CONFIG_CMD_SPI
-#define CONFIG_SPI_FLASH_WINBOND
-#define CONFIG_SPI_FLASH_GIGADEVICE
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
 #define CONFIG_SF_DEFAULT_SPEED                50000000
 #endif
index b514278fc3961222ba84f734425664e024dabab4..8d79ea8ea1e29a003eee2357ecfd9d99eb823068 100644 (file)
@@ -66,7 +66,6 @@
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      2       /* Use UART1                    */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_serial_clock()
index c08e73ac691d3104cf8943d21059999fcfc62011..4e298258dc0b8209b572b581880156ac7091afcf 100644 (file)
@@ -44,7 +44,6 @@
 #define CONFIG_SYS_NO_FLASH
 #define CONFIG_SPI
 #define CONFIG_SH_QSPI
-#define CONFIG_SPI_FLASH_SPANSION
 
 /* SH Ether */
 #define CONFIG_SH_ETHER
index f2fd2ae9a365c39a5cc2325691cd9909d7136deb..52c67d560143f8bd6762508cf48696a8b6550e74 100644 (file)
@@ -91,7 +91,6 @@
 
 /* Flash Support */
 #define CONFIG_CMD_SF
-#define CONFIG_SPI_FLASH_ATMEL
 
 /*
  * mv-common.h should be defined after CMD configs since it used them
index 48010ebce8220b0393a954cbcff5a44d96d4973a..b7b9c78c2e1e28f739cd01dd2352716e5d4852d3 100644 (file)
@@ -70,7 +70,6 @@
   #define CONFIG_MXC_SPI
   #define CONFIG_SPI_FLASH_MTD
   #define CONFIG_SPI_FLASH_BAR
-  #define CONFIG_SPI_FLASH_WINBOND
   #define CONFIG_SF_DEFAULT_BUS              0
   #define CONFIG_SF_DEFAULT_CS               0
                                             /* GPIO 3-19 (21248) */
index 84d0928a6787b8c3eca0767b5beb1eef0a886b66..801be68e8ef5eaa7573d7a1634813d47ddbbec62 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      2
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index bbe9b59b5337f29dd9141e57439264b3b6f250da..d9a3671b26894f8fb49ae072c3554c3411a3f6c1 100644 (file)
 
 #define CONFIG_SYS_SPD_BUS_NUM 0       /* The I2C bus for SPD          */
 
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR     0x50
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS 3
index ecb7f10ab781e64fea338c9b2c31bb3956ae6131..0a5a9f14ad2a37436e66b10d46ad2c7d552f7397 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX              1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 
index a676a20ebb49b5c85a7ff868c3e194e8ca5ee44d..60c9e2c212af6f93bd4b5cb41f31922e45dc454f 100644 (file)
  */
 #define CONFIG_SYS_I2C_PPC4XX_SPEED_0          400000
 
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR             (0xa8>>1)
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN         1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS      3
index dd2a618a88266f87c11ba0892a4cfb594547c61d..0993ffa4443e154d80b0b7f2f781b0b1f234f91d 100644 (file)
@@ -91,8 +91,6 @@
 #define CONFIG_BFIN_SPI
 #define CONFIG_ENV_SPI_MAX_HZ  30000000
 #define CONFIG_SF_DEFAULT_SPEED        30000000
-#define CONFIG_SPI_FLASH_STMICRO
-#define CONFIG_SPI_FLASH_WINBOND
 
 
 /*
index 583f7b3a5a030b6fd996da413844ab350f438567..3c4a70c45e8bfaf5456b6f4caa97e573c0dafdf5 100644 (file)
 /*
  * Serial Driver info
  */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    -4      /* NS16550 register size */
 #define CONFIG_SYS_NS16550_COM1        DAVINCI_UART0_BASE /* Base address of UART0 */
index f63957ab92fd330959989c2265c4cf23d1b7397f..b39ddf67068854d680936836c50d7753b1e0e8dc 100644 (file)
@@ -39,7 +39,6 @@
 #define CONFIG_SYS_MMC_ENV_PART                2
 
 /* SPI */
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
 #define CONFIG_SF_DEFAULT_SPEED                24000000
 #define CONFIG_CMD_SPI
index fa72eb02f3de2f54bd4279a29c44094cdd63837b..664896b614c1439f7c0e56a09c53aafbb7aae0fd 100644 (file)
 #define IIC0_BOOTPROM_ADDR     0x50
 #define IIC0_ALT_BOOTPROM_ADDR 0x54
 
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR     (0x50)
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS 3
index 3f045f2e4afb2f56f23e9004dfcaed59d342d898..eba74797711db17962de3c5a21070e93e565bedc 100644 (file)
@@ -25,7 +25,6 @@
 #define CONFIG_KM_COMMON_ETH_INIT
 
 /* EEprom support 24C08, 24C16, 24C64 */
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_ENABLE
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS      3  /* 8 Byte write page */
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS  10
index 30cf60c2597b5960a42e6cf8923b987ae0bdcaae..d86b7fc446c4216527984e27bfd0d44029666cd5 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 54aa6fb3b6c2a7465fb77a4cf2bb8464c26d5e3d..d1f0b12b0ef200f6861957d1b3ee732c7ee73ea6 100644 (file)
@@ -99,7 +99,6 @@
 /*
  * NS16550 Configuration
  */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
 #define CONFIG_SYS_NS16550_CLK         CONFIG_SYS_TCLK
@@ -221,7 +220,6 @@ int get_scl(void);
 #define        CONFIG_SYS_I2C_SOFT_SPEED       100000
 
 /* EEprom support 24C128, 24C256 valid for environment eeprom */
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_ENABLE
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS      6 /* 64 Byte write page */
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS  10
@@ -254,7 +252,6 @@ int get_scl(void);
 
 #define CONFIG_SYS_REDUNDAND_ENVIRONMENT
 
-#define CONFIG_SPI_FLASH_STMICRO
 
 /* SPI bus claim MPP configuration */
 #define CONFIG_SYS_KW_SPI_MPP  0x0
index e163edb1e862bba3c773d5311432513c0dcc8818..6860ad2a4bdb2f02927ab8b07d98a03b7fe735f8 100644 (file)
@@ -244,7 +244,6 @@ unsigned long get_board_sys_clk(unsigned long dummy);
  * shorted - index 1
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (get_bus_freq(0)/2)
@@ -297,10 +296,7 @@ int get_scl(void);
 /*
  * eSPI - Enhanced SPI
  */
-#define CONFIG_FSL_ESPI
 #define CONFIG_SPI_FLASH_BAR   /* 4 byte-addressing */
-#define CONFIG_SPI_FLASH_STMICRO
-#define CONFIG_SPI_FLASH_SPANSION
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED         20000000
 #define CONFIG_SF_DEFAULT_MODE          0
index c905cc2dc2eef8727e0b4297539217e9cdb4fd42..caeb14d586f26901ac3581ede6ee8d7fb6f9afc5 100644 (file)
@@ -44,7 +44,6 @@
 #define CONFIG_SYS_NO_FLASH
 #define CONFIG_SPI
 #define CONFIG_SH_QSPI
-#define CONFIG_SPI_FLASH_SPANSION
 
 /* SH Ether */
 #define CONFIG_SH_ETHER
index 1450e8f53fad576d2f8fe00961fa341046dac28a..ad8b12ffa261f4a620f86dc7113dfa714e279556 100644 (file)
@@ -43,7 +43,6 @@
 /* SPI */
 #define CONFIG_SPI
 #define CONFIG_SH_QSPI
-#define CONFIG_SPI_FLASH_SPANSION
 #define CONFIG_SYS_NO_FLASH
 
 /* SH Ether */
index 562e78f0a575a32f93f02f46c2f156e29bd20d57..00640cd055016995f2b255bcc4917b40bbe0d283 100644 (file)
@@ -375,7 +375,6 @@ unsigned long get_board_ddr_clk(void);
 #define CONFIG_LPUART_32B_REG
 #else
 #define CONFIG_CONS_INDEX              1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_serial_clock()
@@ -414,14 +413,11 @@ unsigned long get_board_ddr_clk(void);
 /* SPI */
 #ifdef CONFIG_QSPI_BOOT
 /* QSPI */
-#define CONFIG_FSL_QSPI
 #define QSPI0_AMBA_BASE                        0x40000000
 #define FSL_QSPI_FLASH_SIZE            (1 << 24)
 #define FSL_QSPI_FLASH_NUM             2
-#define CONFIG_SPI_FLASH_SPANSION
 
 /* DSPI */
-#define CONFIG_FSL_DSPI
 
 /* DM SPI */
 #if defined(CONFIG_FSL_DSPI) || defined(CONFIG_FSL_QSPI)
index fdbbfc119617e8951d51f3ba5ec94b15c178e230..c12ba3ac910bcac6a49f728e2ce2f2ddf933b02e 100644 (file)
 #define CONFIG_LPUART_32B_REG
 #else
 #define CONFIG_CONS_INDEX              1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_serial_clock()
 /* SPI */
 #if defined(CONFIG_QSPI_BOOT) || defined(CONFIG_SD_BOOT_QSPI)
 /* QSPI */
-#define CONFIG_FSL_QSPI
 #define QSPI0_AMBA_BASE                        0x40000000
 #define FSL_QSPI_FLASH_SIZE            (1 << 24)
 #define FSL_QSPI_FLASH_NUM             2
-#define CONFIG_SPI_FLASH_STMICRO
 
 /* DSPI */
-#define CONFIG_FSL_DSPI
-#define CONFIG_SPI_FLASH_ATMEL
 #endif
 
 /* DM SPI */
index 1f22dd34df4f18d6f7668423b4635868baf05239..6b9856a18f4dc0a0e015b659e93a5dd2eb7c859c 100644 (file)
@@ -55,7 +55,6 @@
 
 /* Serial Port */
 #define CONFIG_CONS_INDEX              1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (get_bus_freq(0))
index 0011e720eaa459d9fb7db93a457203ab80e35be6..f9bca44f6f2e1004390220599b6aefe42ca8bccc 100644 (file)
 
 /* Serial Port */
 #define CONFIG_CONS_INDEX       1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE     1
 #define CONFIG_SYS_NS16550_CLK          (get_bus_freq(0)/2)
index 406d0e6d47e5c018872bbdf38a721fbf9843427e..8a97f758e5dae4756e72d0e68eb40e7660395fb6 100644 (file)
@@ -277,9 +277,6 @@ unsigned long get_board_ddr_clk(void);
 #ifdef CONFIG_FSL_DSPI
 #define CONFIG_CMD_SF
 #define CONFIG_SPI_FLASH
-#define CONFIG_SPI_FLASH_STMICRO
-#define CONFIG_SPI_FLASH_SST
-#define CONFIG_SPI_FLASH_EON
 #endif
 
 /*
index f95d7b27320f329ef908eae30ee9a6b78ce529f2..26f74b63ade760fff3fb1dc06590992020562d82 100644 (file)
@@ -250,7 +250,6 @@ unsigned long get_board_sys_clk(void);
 #ifdef CONFIG_FSL_DSPI
 #define CONFIG_CMD_SF
 #define CONFIG_SPI_FLASH
-#define CONFIG_SPI_FLASH_STMICRO
 #define CONFIG_SPI_FLASH_BAR
 #endif
 
index 4194a0ff8bbc032954fe74c529b05d5c6adc7dba..19ee5bc2f85cf22c9a049cc7b73adc3420d0e759 100644 (file)
@@ -78,8 +78,6 @@
 #define CONFIG_SUPPORT_RAW_INITRD
 
 /* ST M25P40 */
-#undef CONFIG_SPI_FLASH_MACRONIX
-#define CONFIG_SPI_FLASH_STMICRO
 #undef CONFIG_ENV_SPI_MAX_HZ
 #define CONFIG_ENV_SPI_MAX_HZ          25000000
 #undef CONFIG_SF_DEFAULT_SPEED
index 15e4a7e5c849b60b1ecef9997626ad357222329d..e22d0e8b0d08b9c4b771979ecda6e8a483094cc2 100644 (file)
  *----------------------------------------------------------------------*/
 #define CONFIG_SYS_I2C_PPC4XX_SPEED_0          400000
 
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR     (0xa8>>1)
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS 3
index 66d9710d3362c20b2b57bca332bbbc26d956ac14..69172bb9952da9800cd7124251460508e6b5d2f4 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      2       /* Use UART1                    */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_serial_clock()
index 24b5489237b01ab4dc7de5a7a84c013c40eb9ade..8063a1e4569035f36536478f1ff24f655ef249ce 100644 (file)
@@ -91,7 +91,6 @@
 
 /* EEPROM */
 #ifdef CONFIG_CMD_EEPROM
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 2
 #endif
 
 
 /* SPI FLASH */
 #ifdef CONFIG_CMD_SF
-#define CONFIG_SPI_FLASH_STMICRO
 #define CONFIG_SF_DEFAULT_BUS          2
 #define CONFIG_SF_DEFAULT_CS           0
 #define CONFIG_SF_DEFAULT_SPEED                40000000
index 4d3751a10c3350dd9200d504e28e90c10c89d0e1..3faac3763260f600c24d4f4d00bdc66dff6b2c80 100644 (file)
@@ -80,7 +80,6 @@
  */
 #define CONFIG_BAUDRATE                        115200
 
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (115200 * 16)
index 3c4beeba7c0a7640913db84704ac123ef136fb3b..da49243ebfa679fa9ed0505e42fdb71e93edb0ce 100644 (file)
@@ -47,8 +47,6 @@
 /* SPI NOR flash default params, used by sf commands */
 #define CONFIG_SF_DEFAULT_SPEED                1000000
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_3
-#define CONFIG_SPI_FLASH_STMICRO
-#define CONFIG_SPI_FLASH_SPANSION
 
 /* Environment in SPI NOR flash */
 #define CONFIG_ENV_IS_IN_SPI_FLASH
index 882f3dbba21853ccbf431ef2677630bfb8c6538b..4eea06dc627d7cf3e95944847a296d5ae2e930e6 100644 (file)
@@ -78,7 +78,6 @@
  */
 #define V_NS16550_CLK                  48000000        /* 48MHz (APLL96/2) */
 
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
 #define CONFIG_SYS_NS16550_CLK         V_NS16550_CLK
index 6f20a82f7657fd6b812cc3f45bc2383409732a5c..06f6ed1fc0c2aa3f9d996e153d791a6b72a76fa6 100644 (file)
@@ -39,7 +39,6 @@
 # define CONFIG_SYS_BAUDRATE_TABLE     { CONFIG_BAUDRATE }
 # define CONSOLE_ARG   "console=console=ttyUL0,115200\0"
 #elif XILINX_UART16550_BASEADDR
-# define CONFIG_SYS_NS16550            1
 # define CONFIG_SYS_NS16550_SERIAL
 # if defined(__MICROBLAZEEL__)
 #  define CONFIG_SYS_NS16550_REG_SIZE  -4
 #ifdef SPIFLASH
 # define CONFIG_SYS_NO_FLASH           1
 # define CONFIG_SYS_SPI_BASE           XILINX_SPI_FLASH_BASEADDR
-# define CONFIG_XILINX_SPI             1
 # define CONFIG_SPI                    1
-# define CONFIG_SPI_FLASH_STMICRO      1
 # define CONFIG_SF_DEFAULT_MODE                SPI_MODE_3
 # define CONFIG_SF_DEFAULT_SPEED       XILINX_SPI_FLASH_MAX_FREQ
 # define CONFIG_SF_DEFAULT_CS          XILINX_SPI_FLASH_CS
index a20552e74eeec4c8cd304d7a4bb102099963cacd..1cb135b8ad0044115fdfefc3109edc3bd2ddec56 100644 (file)
@@ -29,7 +29,6 @@
        {PCI_VENDOR_ID_INTEL, PCI_DEVICE_ID_INTEL_VALLEYVIEW_SATA}, \
        {PCI_VENDOR_ID_INTEL, PCI_DEVICE_ID_INTEL_VALLEYVIEW_SATA_ALT}
 
-#define CONFIG_SPI_FLASH_STMICRO
 
 #define CONFIG_MMC
 #define CONFIG_SDHCI
@@ -42,7 +41,6 @@
 
 #define VIDEO_IO_OFFSET                                0
 #define CONFIG_X86EMU_RAW_IO
-#define CONFIG_VGA_AS_SINGLE_DEVICE
 
 #define CONFIG_FIT_SIGNATURE
 #define CONFIG_RSA
index 97c614b5d6f17d088c2e6aada227b6e86d765ebd..a8cf2019138818fae1292104c8d6c1daf27fabee 100644 (file)
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN         1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS      1       /* 2 bytes per write cycle */
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS  5       /* 2ms/cycle + 3ms extra */
-#define CONFIG_SYS_I2C_MULTI_EEPROMS           1       /* 2 EEPROMs (addr:50,52) */
 
 /*
  * RTC configuration
index 1a627fc52051d6c11b9c9495c5750edde7c3a5bb..a90083f30552b9ad4c1cdef83fc0ef2291b82809 100644 (file)
  */
 #define CONFIG_CONS_INDEX      1
 #undef CONFIG_SERIAL_SOFTWARE_FIFO
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 1ecbd3521f6e6cf8a43cbc5eb69a6a281d8a4251..d12d725e5f618b097b5d105886318a080892564c 100644 (file)
 /*
  * NS16550 Configuration
  */
-#if !defined(CONFIG_SPL_BUILD) && defined(CONFIG_DM_SERIAL)
-#define CONFIG_DW_SERIAL
-#endif
-
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_CLK         CONFIG_SYS_TCLK
 #if !defined(CONFIG_DM_SERIAL)
  * Common SPI Flash configuration
  */
 #ifdef CONFIG_CMD_SF
-#define CONFIG_SPI_FLASH_MACRONIX      1
 #endif
 
 /*
index 10867a7b9ddac5f711dca64852d23f7dc2136b24..a52c8c90710a3b12f4c38ef8e7320ed67ea97203 100644 (file)
 #define CONFIG_SF_DEFAULT_BUS          2
 #define CONFIG_SF_DEFAULT_CS           0
 /* this may vary and depends on the installed chip */
-#define CONFIG_SPI_FLASH_SST
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
 #define CONFIG_SF_DEFAULT_SPEED                24000000
 #endif
index 3e045ef7a64fd9ca6090258af96edc1bfb24c822..29d1f913602709750533f98d8270daf334ea29a1 100644 (file)
@@ -39,7 +39,6 @@
 
 #define CONFIG_CMD_SF
 #ifdef CONFIG_CMD_SF
-#define CONFIG_SPI_FLASH_STMICRO
 #define CONFIG_MXC_SPI
 #define CONFIG_SF_DEFAULT_BUS          0
 #define CONFIG_SF_DEFAULT_CS           0
index ae395035423b413bef2141337e7cd5fc11848f57..69379246aaf2021504e6624348d0534c6e4339d0 100644 (file)
 
 #define CONFIG_CMD_SF
 #ifdef CONFIG_CMD_SF
-#define CONFIG_SPI_FLASH_STMICRO
 #define CONFIG_MXC_SPI
 #define CONFIG_SF_DEFAULT_BUS          0
 #define CONFIG_SF_DEFAULT_CS           0
index edf7d3f93a05772a49f7e944fdb949f7e0d4cb58..0aec296037794e8a19763b188ce4380826a11bf1 100644 (file)
 
 #define CONFIG_CMD_TIME
 
-#define CONFIG_FSL_QSPI
 
 #ifdef CONFIG_FSL_QSPI
 #define CONFIG_CMD_SF
-#define CONFIG_SPI_FLASH_SPANSION
-#define CONFIG_SPI_FLASH_STMICRO
 #define CONFIG_SYS_FSL_QSPI_LE
 #define CONFIG_SYS_FSL_QSPI_AHB
 #ifdef CONFIG_MX6SX_SABRESD_REVA
index 577963263eb6e1202b073106ff4a1800bf528cc9..2712b27fd16a04484f3927c7da23de371b5dd198 100644 (file)
 #define CONFIG_CMD_CACHE
 #endif
 
-#define CONFIG_FSL_QSPI
 #ifdef CONFIG_FSL_QSPI
 #define CONFIG_CMD_SF
 #define CONFIG_SPI_FLASH
-#define CONFIG_SPI_FLASH_STMICRO
 #define CONFIG_SPI_FLASH_BAR
 #define CONFIG_SF_DEFAULT_BUS          0
 #define CONFIG_SF_DEFAULT_CS           0
index a60b3f7cf1b22c49c766275ca54bdf4b031dd46a..bc014169a4d5c25d56431ef86c33277cd4f15ff9 100644 (file)
@@ -91,7 +91,6 @@
  * set Linux BASE_BAUD to 403200.
  */
 #define CONFIG_CONS_INDEX      1       /* Use UART0                    */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_serial_clock()
index 9160971a800b58fcf963a6aec8979a6b18d7293e..3416ce3321b574ec4c0c02f0779eb09cccc8a2fd 100644 (file)
@@ -31,7 +31,6 @@
 
 #define CONFIG_CMD_SF
 #ifdef CONFIG_CMD_SF
-#define CONFIG_SPI_FLASH_SST
 #define CONFIG_MXC_SPI
 #define CONFIG_SF_DEFAULT_BUS  0
 #define CONFIG_SF_DEFAULT_CS   0
index f071c595977e09e6ee95198e1c377fb590fd6167..b11e43a0a91e91a5caea9f7aaa914f2109286a13 100644 (file)
@@ -85,7 +85,6 @@
  */
 #define V_NS16550_CLK          48000000                /* 48MHz (APLL96/2) */
 
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
 #define CONFIG_SYS_NS16550_CLK         V_NS16550_CLK
diff --git a/include/configs/nsa310s.h b/include/configs/nsa310s.h
new file mode 100644 (file)
index 0000000..4510b16
--- /dev/null
@@ -0,0 +1,99 @@
+/*
+ * Copyright (C) 2015
+ * Gerald Kerma <dreagle@doukki.net>
+ * Tony Dinh <mibodhi@gmail.com>
+ * Luka Perkov <luka.perkov@sartura.hr>
+ *
+ * SPDX-License-Identifier:    GPL-2.0+
+ */
+
+#ifndef _CONFIG_NSA310S_H
+#define _CONFIG_NSA310S_H
+
+/* high level configuration options */
+#define CONFIG_FEROCEON_88FR131        1       /* CPU Core subversion */
+#define CONFIG_KW88F6192               1       /* SOC Name */
+#define CONFIG_KW88F6702               1       /* SOC Name */
+#define CONFIG_SKIP_LOWLEVEL_INIT      /* disable board lowlevel_init */
+
+/* add target to build it automatically upon "make" */
+#define CONFIG_BUILD_TARGET            "u-boot.kwb"
+
+/* compression configuration */
+#define CONFIG_BZIP2
+#define CONFIG_LZMA
+
+/* commands configuration */
+#define CONFIG_SYS_NO_FLASH            /* declare no flash (NOR/SPI) */
+#define CONFIG_SYS_MVFS
+#define CONFIG_CMD_BOOTZ
+#define CONFIG_CMD_IDE
+#define CONFIG_CMD_MII
+
+/*
+ * mv-common.h should be defined after CMD configs since it used them
+ * to enable certain macros
+ */
+#include "mv-common.h"
+
+/* environment variables configuration */
+#ifdef CONFIG_CMD_NAND
+#define CONFIG_ENV_IS_IN_NAND
+#define CONFIG_ENV_SECT_SIZE   0x20000
+#else
+#define CONFIG_ENV_IS_NOWHERE
+#endif
+#define CONFIG_ENV_SIZE                0x20000
+#define CONFIG_ENV_OFFSET      0xe0000
+
+/* default environment variables */
+#define CONFIG_BOOTCOMMAND \
+       "setenv bootargs ${console} ${mtdparts} ${bootargs_root}; " \
+       "ubi part root; " \
+       "ubifsmount ubi:rootfs; " \
+       "ubifsload 0x800000 ${kernel}; " \
+       "ubifsload 0x700000 ${fdt}; " \
+       "ubifsumount; " \
+       "fdt addr 0x700000; fdt resize; fdt chosen; " \
+       "bootz 0x800000 - 0x700000"
+
+#define CONFIG_MTDPARTS \
+       "mtdparts=orion_nand:" \
+       "0xe0000@0x0(uboot)," \
+       "0x20000@0xe0000(uboot_env)," \
+       "0x100000@0x100000(second_stage_uboot)," \
+       "-@0x200000(root)\0"
+
+#define CONFIG_EXTRA_ENV_SETTINGS \
+       "console=console=ttyS0,115200\0" \
+       "mtdids=nand0=orion_nand\0" \
+       "mtdparts="CONFIG_MTDPARTS \
+       "kernel=/boot/zImage\0" \
+       "fdt=/boot/nsa310s.dtb\0" \
+       "bootargs_root=ubi.mtd=3 root=ubi0:rootfs rootfstype=ubifs rw\0"
+
+/* Ethernet driver configuration */
+#ifdef CONFIG_CMD_NET
+#define CONFIG_NETCONSOLE
+#define CONFIG_NET_MULTI
+#define CONFIG_MVGBE_PORTS     {1, 0}  /* enable port 0 only */
+#define CONFIG_PHY_BASE_ADR    1
+#define CONFIG_PHY_GIGE
+#define CONFIG_RESET_PHY_R
+#endif /* CONFIG_CMD_NET */
+
+/* SATA driver configuration */
+#ifdef CONFIG_CMD_IDE
+#define __io
+#define CONFIG_IDE_PREINIT
+#define CONFIG_DOS_PARTITION
+#define CONFIG_MVSATA_IDE_USE_PORT0
+#define CONFIG_SYS_ATA_IDE0_OFFSET     MV_SATA_PORT0_OFFSET
+#endif /* CONFIG_CMD_IDE */
+
+/* RTC driver configuration */
+#ifdef CONFIG_CMD_DATE
+#define CONFIG_RTC_MV
+#endif /* CONFIG_CMD_DATE */
+
+#endif /* _CONFIG_NSA310S_H */
index 4b693e8230b9fd80f22cee1d2513d8bdfcd9b644..9464153f58460bf4332b2593ca0fe2f72409ceab 100644 (file)
@@ -51,7 +51,6 @@
 #define CONFIG_LCD_ALIGNMENT   MMU_SECTION_SIZE
 
 /* SPI */
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
 #define CONFIG_SF_DEFAULT_SPEED        24000000
 #define CONFIG_CMD_SPI
index 7e7f6f2e9f4f15fe52092eff5385f984c032e4f4..2004d148c6b3c1057ec334bb562872c12dddb775 100644 (file)
@@ -72,7 +72,6 @@
  */
 #define V_NS16550_CLK                  48000000        /* 48MHz (APLL96/2) */
 
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
 #define CONFIG_SYS_NS16550_CLK         V_NS16550_CLK
index 4e93705081697983c96088db0122d88a3bf693e7..803f4b8c4771730d29404142a3b69ee68ffd632e 100644 (file)
@@ -49,7 +49,7 @@
 /*
  * NS16550 Configuration
  */
-#undef CONFIG_OMAP_SERIAL
+#undef CONFIG_SYS_NS16550_CLK
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
 #define CONFIG_SYS_NS16550_CLK         V_NS16550_CLK
index 80d57f46d4dc8fbc84a5e6642cfe27096d15a091..4633fec164d373dabbdbc3edad2ab63179870c21 100644 (file)
@@ -93,7 +93,6 @@
 /*
  * Serial Driver info
  */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    -4      /* NS16550 register size */
 #define CONFIG_SYS_NS16550_COM1        DAVINCI_UART2_BASE /* Base address of UART2 */
 #define CONFIG_SYS_BAUDRATE_TABLE      { 9600, 19200, 38400, 57600, 115200 }
 
 #define CONFIG_SPI
-#define CONFIG_SPI_FLASH_STMICRO
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_DAVINCI_SPI
 #define CONFIG_SYS_SPI_BASE            DAVINCI_SPI1_BASE
 #define CONFIG_SYS_SPI_CLK             clk_get(DAVINCI_SPI1_CLKID)
index d53e4196781c6da28a0a208884baa616868dde84..c854189558631dd71909a863cf495ef5c18f566c 100644 (file)
@@ -31,7 +31,6 @@
 /*
  * SERIAL
  */
-# define CONFIG_SYS_NS16550
 # define CONFIG_SYS_NS16550_SERIAL
 # define CONFIG_SYS_NS16550_REG_SIZE   1
 # define CONFIG_CONS_INDEX             1
index 426ec7246f725bcf1602c8e976768a136a4daaf3..3da454a35b23e55c5ee69d9ce44f2440a3de55e0 100644 (file)
 /* SF Configs */
 #define CONFIG_CMD_SF
 #define CONFIG_SPI
-#define CONFIG_SPI_FLASH_STMICRO
-#define CONFIG_SPI_FLASH_WINBOND
-#define CONFIG_SPI_FLASH_MACRONIX
-#define CONFIG_SPI_FLASH_SST
 #define CONFIG_MXC_SPI
 #define CONFIG_SF_DEFAULT_BUS  2
 #define CONFIG_SF_DEFAULT_CS   0
index 433c4093361fa6a463410010dbf72ef484279b0f..60bedaa7265b9e48022b71ca32c2923aa2637af2 100644 (file)
  */
 #define CONFIG_CONS_INDEX              1
 #undef CONFIG_SERIAL_SOFTWARE_FIFO
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
 
 /* enable read and write access to EEPROM */
 #define CONFIG_CMD_EEPROM
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS 3
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS 5
  * eSPI - Enhanced SPI
  */
 #define CONFIG_HARD_SPI
-#define CONFIG_FSL_ESPI
 
 #if defined(CONFIG_SPI_FLASH)
-#define CONFIG_SPI_FLASH_SPANSION
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED        10000000
 #define CONFIG_SF_DEFAULT_MODE 0
index d83daa02556700072051ece407bf22c2a4a77927..77ba2d8bedb70edf9c7fbc1086f47ccc2e001728 100644 (file)
@@ -215,7 +215,6 @@ extern unsigned long get_board_sys_clk(unsigned long dummy);
  */
 #define CONFIG_CONS_INDEX              1
 #undef CONFIG_SERIAL_SOFTWARE_FIFO
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
@@ -262,7 +261,6 @@ extern unsigned long get_board_sys_clk(unsigned long dummy);
 
 /* enable read and write access to EEPROM */
 #define CONFIG_CMD_EEPROM
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS 3
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS 5
@@ -271,7 +269,6 @@ extern unsigned long get_board_sys_clk(unsigned long dummy);
  * eSPI - Enhanced SPI
  */
 #define CONFIG_HARD_SPI
-#define CONFIG_FSL_ESPI
 
 #if defined(CONFIG_PCI)
 /*
index f2a713d0bee2f60a287bf72a3e1a4e34ad524b62..fffe5c9df80cad6515d35a21f98835cd92a98660 100644 (file)
@@ -35,7 +35,6 @@
 #define CONFIG_ENV_OFFSET              (-CONFIG_ENV_SIZE)
 
 /* SPI */
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
 #define CONFIG_SF_DEFAULT_SPEED                24000000
 #define CONFIG_CMD_SPI
index 94f8085ceb623527550b78d010995daa50073b3a..0dfcdb97e32de3b9b02400dbe838aa8d36e8e95c 100644 (file)
@@ -35,7 +35,6 @@
 #define CONFIG_ENV_OFFSET              (-CONFIG_ENV_SIZE)
 
 /* SPI */
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
 #define CONFIG_SF_DEFAULT_SPEED                24000000
 #define CONFIG_CMD_SPI
index a5de411121b02e8572f1ace931fa3e3f4c6251fa..f3357d1e0d5dce56faaba20dfb8d2a2d650c046a 100644 (file)
@@ -36,7 +36,6 @@
 #define CONFIG_ENV_OFFSET              (-CONFIG_ENV_SIZE)
 
 /* SPI */
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
 #define CONFIG_SF_DEFAULT_SPEED                24000000
 #define CONFIG_CMD_SPI
index 275e952fb26c39235a11dd4994369802eeaf3c9a..45c140d03c021418df89f21b7a1cd66609c019ae 100644 (file)
@@ -97,7 +97,6 @@
 #define CONFIG_SYS_MEMTEST_END         (CONFIG_SYS_MEMTEST_START \
                                        + (8 * 1024 * 1024))
 
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED                24000000
 
 #define CONFIG_ENV_EEPROM_IS_ON_I2C
 #define CONFIG_SYS_I2C_EEPROM_ADDR     0x50    /* Main EEPROM */
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 2
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 
 #define CONFIG_SYS_BAUDRATE_TABLE      { 110, 300, 600, 1200, 2400, \
 4800, 9600, 14400, 19200, 28800, 38400, 56000, 57600, 115200 }
index 046ddb8516deaa3626ded0928ca0c31c25974cae..b851bba25d90dec30d1a39d315d6e72895aa7745 100644 (file)
 #define CONFIG_PHY_MICREL
 
 /* QSPI Configs*/
-#define CONFIG_FSL_QSPI
 
 #ifdef CONFIG_FSL_QSPI
 #define CONFIG_CMD_SF
 #define CONFIG_SPI_FLASH
-#define CONFIG_SPI_FLASH_STMICRO
 #define FSL_QSPI_FLASH_SIZE            (1 << 24)
 #define FSL_QSPI_FLASH_NUM             2
 #define CONFIG_SYS_FSL_QSPI_LE
index d68cdede866090bf0ae0c417ce9be4eb7f933af4..bfc1c1e5707c0b6c831ce7c654d229a53b7e57c5 100644 (file)
 #define CONFIG_ENV_EEPROM_IS_ON_I2C
 #define CONFIG_SYS_I2C_EEPROM_ADDR     0x50
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 2
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 
 /* SPL */
 #define CONFIG_SPL_POWER_SUPPORT
index 59b14e90610b9d395155ec9dbf43c0c07e4aa5d4..e34cd052555b455e46a2518477dfb98f63f0a9dd 100644 (file)
@@ -43,7 +43,6 @@
 /* FLASH */
 #define CONFIG_SPI
 #define CONFIG_SH_QSPI
-#define CONFIG_SPI_FLASH_SPANSION
 #define CONFIG_SPI_FLASH_QUAD
 #define CONFIG_SYS_NO_FLASH
 
index f76e02325f344ec413b5ba797c159889a56e68c7..2e5ce75629cf5e95090a941c990722fce77edeab 100644 (file)
@@ -83,7 +83,6 @@
 #define CONFIG_BFIN_SPI
 #define CONFIG_ENV_SPI_MAX_HZ  30000000
 #define CONFIG_SF_DEFAULT_SPEED        30000000
-#define CONFIG_SPI_FLASH_STMICRO
 
 
 /*
index 9395bdad07225afb574fe80823a7fd33c7800a92..5a043d5ab4da55f664d6c6f66ebfe068d5e646e0 100644 (file)
@@ -52,7 +52,6 @@
 #define CONFIG_DRIVER_NE2000
 #define CONFIG_DRIVER_NE2000_BASE      0xb4000300
 
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         115200
index 53ff80232e209bed7268657c317212d7e9191258..070b5de4a98dc4fedd5df83673279bfbbf441c7c 100644 (file)
@@ -52,7 +52,6 @@
 #define CONFIG_DRIVER_NE2000
 #define CONFIG_DRIVER_NE2000_BASE      0xffffffffb4000300
 
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         115200
index f45789fd5ea677bd8a7f561ef408fa9aa059bfc0..2dfea33306f87dc264cdfb4dde3b0e7c13f4c83d 100644 (file)
@@ -103,7 +103,6 @@ extern unsigned long long get_phys_ccsrbar_addr_early(void);
 #define CONFIG_SYS_MALLOC_LEN          (4 * 1024 * 1024)
 
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (get_bus_freq(0))
index e3e1237fa83c4633f1c1db47e715cc3436a37280..4258dcb7f6c109ef1b65658615d9871a3cc62a57 100644 (file)
@@ -19,7 +19,7 @@
 
 #define CONFIG_PCI_PNP
 
-#define CONFIG_STD_DEVICES_SETTINGS    "stdin=serial,vga\0" \
+#define CONFIG_STD_DEVICES_SETTINGS    "stdin=serial,i8042-kbd\0" \
                                        "stdout=serial,vga\0" \
                                        "stderr=serial,vga\0"
 
@@ -54,7 +54,6 @@
 #undef CONFIG_INTEL_ICH6_GPIO
 
 /* SPI is not supported */
-#undef CONFIG_ICH_SPI
 #undef CONFIG_ENV_IS_IN_SPI_FLASH
 #define CONFIG_ENV_IS_NOWHERE
 
index 36408b99e2c9025eaeb9ba891fc25186a93315ad..4f30fcef37baa47cbdaa2efed13ab3d6900a26c8 100644 (file)
@@ -29,7 +29,6 @@
 #define CONFIG_SPL_LIBCOMMON_SUPPORT
 #define CONFIG_SPL_LIBGENERIC_SUPPORT
 #define CONFIG_SPL_SERIAL_SUPPORT
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_MEM32
 #define CONFIG_SPL_BOARD_INIT
 
@@ -84,7 +83,6 @@
 #define CONFIG_SPI
 #define CONFIG_CMD_SF
 #define CONFIG_CMD_SPI
-#define CONFIG_SPI_FLASH_GIGADEVICE
 #define CONFIG_SF_DEFAULT_SPEED 20000000
 
 #define CONFIG_CMD_I2C
index 61b4bdaab2571f34638c74fc3fa530a581018c8b..bd5f4eef084318fe358413bf3a7a1bce54d28594 100644 (file)
@@ -80,7 +80,6 @@
 
 #ifdef CONFIG_CMD_SF
 #define CONFIG_ATMEL_SPI
-#define CONFIG_SPI_FLASH_ATMEL
 #define CONFIG_SF_DEFAULT_SPEED                30000000
 #endif
 
index 139031d30766d180362919e0ded611302e49d6bb..52b4584aa691ec395601bb4464764b500285e25a 100644 (file)
@@ -40,7 +40,6 @@
 #ifdef CONFIG_CMD_SF
 #define CONFIG_ATMEL_SPI
 #define CONFIG_ATMEL_SPI0
-#define CONFIG_SPI_FLASH_ATMEL
 #define CONFIG_SF_DEFAULT_BUS          0
 #define CONFIG_SF_DEFAULT_CS           0
 #define CONFIG_SF_DEFAULT_SPEED                30000000
index cde549a2143fff2ebfbfb73895bda2ca2ac65d1e..ce96a7c7e77fc90b908eb2689cf06e75415ada6b 100644 (file)
@@ -40,7 +40,6 @@
 #ifdef CONFIG_CMD_SF
 #define CONFIG_ATMEL_SPI
 #define CONFIG_ATMEL_SPI0
-#define CONFIG_SPI_FLASH_ATMEL
 #define CONFIG_SF_DEFAULT_BUS          0
 #define CONFIG_SF_DEFAULT_CS           0
 #define CONFIG_SF_DEFAULT_SPEED                30000000
index 2a68203446c37014da356f4bf49681e867198f33..d3112e1760e45631c1e0b8f107ee57ea1462c340 100644 (file)
@@ -19,7 +19,9 @@
 #define CONFIG_IO_TRACE
 #define CONFIG_CMD_IOTRACE
 
+#ifndef CONFIG_TIMER
 #define CONFIG_SYS_TIMER_RATE          1000000
+#endif
 
 #define CONFIG_SYS_STDIO_DEREGISTER
 
@@ -63,6 +65,7 @@
 #define CONFIG_SYS_HUSH_PARSER
 #define CONFIG_SYS_LONGHELP                    /* #undef to save memory */
 #define CONFIG_SYS_CBSIZE              1024    /* Console I/O Buffer Size */
+#define CONFIG_SILENT_CONSOLE
 
 /* Print Buffer Size */
 #define CONFIG_SYS_PBSIZE (CONFIG_SYS_CBSIZE + sizeof(CONFIG_SYS_PROMPT) + 16)
 #define CONFIG_CMD_SF
 #define CONFIG_CMD_SF_TEST
 #define CONFIG_CMD_SPI
-#define CONFIG_SPI_FLASH_ATMEL
-#define CONFIG_SPI_FLASH_EON
-#define CONFIG_SPI_FLASH_GIGADEVICE
-#define CONFIG_SPI_FLASH_MACRONIX
-#define CONFIG_SPI_FLASH_SPANSION
-#define CONFIG_SPI_FLASH_SST
-#define CONFIG_SPI_FLASH_STMICRO
-#define CONFIG_SPI_FLASH_WINBOND
 
 #define CONFIG_CMD_I2C
 #define CONFIG_I2C_EDID
 
 #define CONFIG_KEYBOARD
 
-#define SANDBOX_SERIAL_SETTINGS                "stdin=serial,cros-ec-keyb\0" \
+#define SANDBOX_SERIAL_SETTINGS                "stdin=serial,cros-ec-keyb,usbkbd\0" \
                                        "stdout=serial,lcd\0" \
                                        "stderr=serial,lcd\0"
 #else
index c8b14e9b831c0c0a4bc8878d82da74696db21191..25ec7bc7b15e495874f53b869c4402735165760c 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX     1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 1c309a42b34a05bc009e407c651cca3d192e97d9..9783804194229bdd62a5c7c9033eea170f6e5526 100644 (file)
 
 /* Serial Port */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (400000000 / CONFIG_SYS_CLK_DIV)
index 0b22ce0e02e0ca5d4ef55e45c3e2d5256be36505..f88d685db29784dc06c541604ddd41331dc6e9fa 100644 (file)
 
 /* Serial Port */
 #define CONFIG_CONS_INDEX     1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 1f1beeaf2ed26d1c3bd7b0b50c775aaa3c5883aa..f32459b7afb93c01fc488e3e2cf155fbc2ea6b7b 100644 (file)
  */
 #define CONFIG_SYS_I2C_PPC4XX_SPEED_0          400000
 
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR     (0xa8>>1)
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS 3
index f1f9ca863268943aa77d49143aaadb176126a426..fc9d2461616aef2b775cbf91f0b18791b9d45e73 100644 (file)
@@ -97,8 +97,6 @@
 /* SPI */
 #define CONFIG_SH_SPI                  1
 #define CONFIG_SH_SPI_BASE             0xfe002000
-#define CONFIG_SPI_FLASH_STMICRO       1
-#define CONFIG_SPI_FLASH_MACRONIX      1
 
 /* MMCIF */
 #define CONFIG_MMC                     1
index d7ed65b18894e2a83555e7645d01434b3da30c2c..31dd9843f8f7aecad69d59e7f652446b238edae4 100644 (file)
@@ -97,8 +97,6 @@
 /* SPI */
 #define CONFIG_SH_SPI                  1
 #define CONFIG_SH_SPI_BASE             0xfe002000
-#define CONFIG_SPI_FLASH_STMICRO       1
-#define CONFIG_SPI_FLASH_MACRONIX      1
 
 /* MMCIF */
 #define CONFIG_MMC                     1
index cf514b6f94b5162a88907f0cf62c0287ffe80199..26d70f4817d702d11ebda7e0ab4be992bbd0274c 100644 (file)
@@ -98,7 +98,6 @@
 /* SPI */
 #define CONFIG_SH_SPI                  1
 #define CONFIG_SH_SPI_BASE             0xfe002000
-#define CONFIG_SPI_FLASH_STMICRO       1
 
 /* MMCIF */
 #define CONFIG_MMC                     1
index 9ab04577d4696713216853afc48b4e1ad37ee32a..eac72700c080aea8a9c27363deec641719bc3030 100644 (file)
@@ -97,7 +97,6 @@
 #define CONFIG_SPI
 #define CONFIG_OMAP3_SPI
 #define CONFIG_MTD_DEVICE
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED                (75000000)
 
 #define CONFIG_SYS_PTV                 2       /* Divisor: 2^(PTV+1) => 8 */
 
 /* NS16550 Configuration */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
 #define CONFIG_SYS_NS16550_CLK         (48000000)
index cd839aa63fa8622411239e212c31d8f1d7f08f11..93c3d0d97b286e7863d57d82e2b5f8b716f7d8a5 100644 (file)
@@ -43,7 +43,6 @@
 /* FLASH */
 #define CONFIG_SPI
 #define CONFIG_SH_QSPI
-#define CONFIG_SPI_FLASH_SPANSION
 #define CONFIG_SPI_FLASH_QUAD
 #define CONFIG_SYS_NO_FLASH
 
index f168e8f97ac41fac435404cf1f4a0f7f4891f539..08046b5e995dd62a4712e8eafe7898a138c0b18f 100644 (file)
  */
 
 
-#ifndef CONFIG_SPL_BUILD
-#define CONFIG_OMAP_SERIAL
-#else
+#ifdef CONFIG_SPL_BUILD
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
-#define CONFIG_SYS_NS16550_CLK         V_NS16550_CLK
 #endif
 
-#define CONFIG_SYS_NS16550
+#define CONFIG_SYS_NS16550_CLK         V_NS16550_CLK
 #define CONFIG_SYS_NS16550_COM3                OMAP34XX_UART3
 #define CONFIG_CONS_INDEX              3
 #define CONFIG_SERIAL3                 3
index 3374683911570890e8e35c854d92732543ae0b88..b22e3b1ae9c60bc892025e30333588a9c685f20b 100644 (file)
@@ -88,7 +88,6 @@
 #define CONFIG_CMD_SPI
 #define CONFIG_CMD_SF
 #define CONFIG_SF_DEFAULT_SPEED                30000000
-#define CONFIG_SPI_FLASH_STMICRO
 #define CONFIG_SPI_FLASH_BAR
 /*
  * The base address is configurable in QSys, each board must specify the
@@ -190,10 +189,7 @@ unsigned int cm_get_l4_sp_clk_hz(void);
 /*
  * QSPI support
  */
-#define CONFIG_CADENCE_QSPI
 /* Enable multiple SPI NOR flash manufacturers */
-#define CONFIG_SPI_FLASH_STMICRO       /* Micron/Numonyx flash */
-#define CONFIG_SPI_FLASH_SPANSION      /* Spansion flash */
 #ifndef CONFIG_SPL_BUILD
 #define CONFIG_SPI_FLASH_MTD
 #define CONFIG_CMD_MTDPARTS
@@ -213,13 +209,11 @@ unsigned int cm_get_qspi_controller_clk_hz(void);
 /*
  * Designware SPI support
  */
-#define CONFIG_DESIGNWARE_SPI
 #define CONFIG_CMD_SPI
 
 /*
  * Serial Driver
  */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    -4
 #define CONFIG_SYS_NS16550_COM1                SOCFPGA_UART0_ADDRESS
index 019cf309e3991d0f8e951eba43eb140e1643a593..f8bddcacaac101aed62a335438de692c4dd51558 100644 (file)
 /* Serial Port */
 
 #define CONFIG_CONS_INDEX     1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 87ea53094804eaffb936ad3103cc11e1f294cff6..70fedf1d81814c7d395a1f201cba3b5e1d6d18e0 100644 (file)
@@ -48,7 +48,6 @@
 /* SPI */
 #define CONFIG_SPI
 #define CONFIG_SH_QSPI
-#define CONFIG_SPI_FLASH_SPANSION
 #define CONFIG_SPI_FLASH_QUAD
 #define CONFIG_SYS_NO_FLASH
 
index fb7b7f9551eb5df1d193992c52703d859e1089cd..8771cdc8ca0a3eaf109a63e44225ba4ba5eb5b92 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      2
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 1526d13ac158ddf20bf3665f86df2fb1a52ce6bd..14c6a9edf5767d64103a4733a7c63d0b4ddbd660 100644 (file)
 + * QSPI support
 + */
 #ifdef CONFIG_OF_CONTROL               /* QSPI is controlled via DT */
-#define CONFIG_CADENCE_QSPI
 #define CONFIG_CQSPI_DECODER           0
 #define CONFIG_CQSPI_REF_CLK           ((30/4)/2)*1000*1000
 #define CONFIG_CMD_SPI
 
-#define CONFIG_SPI_FLASH_STMICRO       /* Micron/Numonyx flash */
-#define CONFIG_SPI_FLASH_WINBOND       /* WINBOND */
 #define CONFIG_CMD_SF
 #endif
 
index 4fc63650082cd71b018209126d5b63caa620bcf9..113e320f06c62cfdf74245360b5c056a2058aa66 100644 (file)
@@ -25,6 +25,8 @@
 #define CONFIG_ARMV7_PSCI_NR_CPUS      2
 #elif defined(CONFIG_MACH_SUN8I_A33)
 #define CONFIG_ARMV7_PSCI_NR_CPUS      4
+#elif defined(CONFIG_MACH_SUN8I_H3)
+#define CONFIG_ARMV7_PSCI_NR_CPUS      4
 #else
 #error Unsupported sun8i variant
 #endif
index d7d5d25c0b7a94a2a1fda81dc22e5aa5d2a806b1..98a2c7478b34652eaefea90304b9e21346c0041f 100644 (file)
 #endif
 
 /* Serial & console */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 /* ns16550 reg in the low bits of cpu reg */
 #define CONFIG_SYS_NS16550_CLK         24000000
-#ifdef CONFIG_DM_SERIAL
-# define CONFIG_DW_SERIAL
-#else
+#ifndef CONFIG_DM_SERIAL
 # define CONFIG_SYS_NS16550_REG_SIZE   -4
 # define CONFIG_SYS_NS16550_COM1               SUNXI_UART0_BASE
 # define CONFIG_SYS_NS16550_COM2               SUNXI_UART1_BASE
@@ -335,6 +332,7 @@ extern int soft_i2c_gpio_scl;
 #define CONFIG_USB_GADGET_VBUS_DRAW    0
 
 #define CONFIG_USB_GADGET_DOWNLOAD
+#define CONFIG_USB_FUNCTION_DFU
 #define CONFIG_USB_FUNCTION_FASTBOOT
 #define CONFIG_USB_FUNCTION_MASS_STORAGE
 #endif
@@ -345,6 +343,11 @@ extern int soft_i2c_gpio_scl;
 #define CONFIG_G_DNL_MANUFACTURER      "Allwinner Technology"
 #endif
 
+#ifdef CONFIG_USB_FUNCTION_DFU
+#define CONFIG_CMD_DFU
+#define CONFIG_DFU_RAM
+#endif
+
 #ifdef CONFIG_USB_FUNCTION_FASTBOOT
 #define CONFIG_CMD_FASTBOOT
 #define CONFIG_FASTBOOT_BUF_ADDR       CONFIG_SYS_LOAD_ADDR
@@ -392,13 +395,26 @@ extern int soft_i2c_gpio_scl;
  * 32M uncompressed kernel, 16M compressed kernel, 1M fdt,
  * 1M script, 1M pxe and the ramdisk at the end.
  */
+
+#define KERNEL_ADDR_R  __stringify(SDRAM_OFFSET(2000000))
+#define FDT_ADDR_R     __stringify(SDRAM_OFFSET(3000000))
+#define SCRIPT_ADDR_R  __stringify(SDRAM_OFFSET(3100000))
+#define PXEFILE_ADDR_R __stringify(SDRAM_OFFSET(3200000))
+#define RAMDISK_ADDR_R __stringify(SDRAM_OFFSET(3300000))
+
 #define MEM_LAYOUT_ENV_SETTINGS \
        "bootm_size=0xa000000\0" \
-       "kernel_addr_r=" __stringify(SDRAM_OFFSET(2000000)) "\0" \
-       "fdt_addr_r=" __stringify(SDRAM_OFFSET(3000000)) "\0" \
-       "scriptaddr=" __stringify(SDRAM_OFFSET(3100000)) "\0" \
-       "pxefile_addr_r=" __stringify(SDRAM_OFFSET(3200000)) "\0" \
-       "ramdisk_addr_r=" __stringify(SDRAM_OFFSET(3300000)) "\0"
+       "kernel_addr_r=" KERNEL_ADDR_R "\0" \
+       "fdt_addr_r=" FDT_ADDR_R "\0" \
+       "scriptaddr=" SCRIPT_ADDR_R "\0" \
+       "pxefile_addr_r=" PXEFILE_ADDR_R "\0" \
+       "ramdisk_addr_r=" RAMDISK_ADDR_R "\0"
+
+#define DFU_ALT_INFO_RAM \
+       "dfu_alt_info_ram=" \
+       "kernel ram " KERNEL_ADDR_R " 0x1000000;" \
+       "fdt ram " FDT_ADDR_R " 0x100000;" \
+       "ramdisk ram " RAMDISK_ADDR_R " 0x4000000\0"
 
 #ifdef CONFIG_MMC
 #define BOOT_TARGET_DEVICES_MMC(func) func(MMC, mmc, 0)
@@ -480,6 +496,7 @@ extern int soft_i2c_gpio_scl;
 #define CONFIG_EXTRA_ENV_SETTINGS \
        CONSOLE_ENV_SETTINGS \
        MEM_LAYOUT_ENV_SETTINGS \
+       DFU_ALT_INFO_RAM \
        "fdtfile=" CONFIG_DEFAULT_DEVICE_TREE ".dtb\0" \
        "console=ttyS0,115200\0" \
        BOOTCMD_SUNXI_COMPAT \
index 502e79597647f6f306889a2b9a7c9f9a0835c4bc..7942865f114fb3f4bad2253797acf659d4a3a138 100644 (file)
  */
 #define CONFIG_SYS_I2C_PPC4XX_SPEED_0                  400000
 
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR             (0xa8>>1)
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN         1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS      3
index 5754369cf31c700ff356f1f709e32e9c2aa7ea4d..5788a7095f223eca96a5c28403c563c7648e1427 100644 (file)
  * shorted - index 1
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         (get_bus_freq(0)/2)
index 4cb79021e9d0944d15b02bc4ff115c7154848c8e..ec0a812aa12805b1f5f4b412581abadc09fbd925 100644 (file)
@@ -66,7 +66,6 @@
 /*
  * NS16550 Configuration
  */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
 #define CONFIG_SYS_NS16550_CLK         48000000        /* 48MHz (APLL96/2) */
index 97fe79607d510d91bc3cb69bd0fc69065b3e3a2b..c1bd179005a970d95cc99aaa1f1c57e065cf29cc 100644 (file)
@@ -66,7 +66,6 @@
  */
 #define V_NS16550_CLK                  48000000        /* 48MHz (APLL96/2) */
 
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
 #define CONFIG_SYS_NS16550_CLK         V_NS16550_CLK
index a5e7090cb7225dad54dca707a59a33f52997a156..1d6f9c3429b49b1ae422c6124091fd2d786285d8 100644 (file)
 #define CONFIG_CMD_SPI
 #define CONFIG_CMD_SF
 #define CONFIG_ATMEL_SPI
-#define CONFIG_SPI_FLASH_STMICRO
 #define TAURUS_SPI_MASK (1 << 4)
 #define TAURUS_SPI_CS_PIN      AT91_PIN_PA3
 
index e3c41ef4bd94a585faeddd9deaa4ac2cb3b219ac..8660ed45e00ec2bfd8b889acda2d27861a9e81bd 100644 (file)
@@ -35,8 +35,6 @@
 /*
  * UART configuration
  */
-#define CONFIG_DW_SERIAL
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_CLK         166666666
 #define CONFIG_BAUDRATE                        115200
index 32974249b0a0ea884fa5fa3c3d6967406810b18f..a9e3e66a5c9e2f8a1b2d042a40c6cba0ca555ef7 100644 (file)
@@ -34,9 +34,7 @@
 #define CONFIG_SYS_MMC_ENV_PART                2
 
 /* SPI */
-#define CONFIG_TEGRA20_SLINK
 #define CONFIG_TEGRA_SLINK_CTRLS       6
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
 #define CONFIG_SF_DEFAULT_SPEED        24000000
 #define CONFIG_CMD_SPI
index 5bb9e485f2ee789c9b6321828ca5e64ad066910f..ba819c435842b2301b17d826b6c9cb30752c2fff 100644 (file)
@@ -39,8 +39,7 @@
 /*
  * NS16550 Configuration
  */
-#define CONFIG_TEGRA_SERIAL
-#define CONFIG_SYS_NS16550
+#define CONFIG_SYS_NS16550_CLK         V_NS16550_CLK
 
 /*
  * Common HW configuration.
index 7fa35a154256b8d36434728195485487b22b36ae..e726040b702fdc284fbecfa1c2d6bcae858abbe8 100644 (file)
 #define CONFIG_SYS_PTV                 2       /* Divisor: 2^(PTV+1) => 8 */
 
 /* NS16550 Configuration */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
 #define CONFIG_SYS_NS16550_CLK         (48000000)
index 01d8233741545217ee1f5a49654210016bce4684..ba652cabab3670da097a76622942f3ee865b11a5 100644 (file)
 /*
  * NS16550 Configuration
  */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE (-4)
 #define CONFIG_SYS_NS16550_CLK      (48000000)
index 9697431b449638e0a88124be96d686a711211d0e..edbd8205495ee7f5ca723b0b5ebf331256ebdcc3 100644 (file)
 #define CONFIG_SYS_TIMERBASE           0x48040000      /* Use Timer2 */
 #define CONFIG_SPL_AM33XX_ENABLE_RTC32K_OSC
 
-#ifndef CONFIG_SPL_BUILD
-# define CONFIG_OMAP_SERIAL
-#endif
-
 #include <asm/arch/omap.h>
 
 /* NS16550 Configuration */
-#define CONFIG_SYS_NS16550
 #ifdef CONFIG_SPL_BUILD
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
index 2b2c0607826f7c870bdbcf690c735f59f79fd3c9..de45e71b8e6fcc555bfa82890fccee010adb2045 100644 (file)
 #define CONFIG_SYS_SPI_U_BOOT_OFFS     CONFIG_SPL_PAD_TO
 
 /* UART Configuration */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_MEM32
 #if defined(CONFIG_SPL_BUILD) || !defined(CONFIG_DM_SERIAL)
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    -4
-#else
-#define CONFIG_KEYSTONE_SERIAL
 #endif
 #define CONFIG_SYS_NS16550_COM1                KS2_UART0_BASE
 #define CONFIG_SYS_NS16550_COM2                KS2_UART1_BASE
@@ -77,7 +74,6 @@
 #endif
 
 /* SPI Configuration */
-#define CONFIG_SPI_FLASH_STMICRO
 #define CONFIG_DAVINCI_SPI
 #define CONFIG_SYS_SPI_CLK             clk_get_rate(KS2_CLK1_6)
 #define CONFIG_SF_DEFAULT_SPEED                30000000
        "get_mon_net=dhcp ${addr_mon} ${tftp_root}/${name_mon}\0"       \
        "get_mon_ubi=ubifsload ${addr_mon} ${name_mon}\0"               \
        "get_uboot_net=dhcp ${loadaddr} ${tftp_root}/${name_uboot}\0"   \
-       "burn_uboot_spi=sf probe; sf erase 0 0x100000; "                \
+       "burn_uboot_spi=sf probe; sf erase 0 0x80000; "         \
                "sf write ${loadaddr} 0 ${filesize}\0"          \
        "burn_uboot_nand=nand erase 0 0x100000; "                       \
                "nand write ${loadaddr} 0 ${filesize}\0"                \
index e399a879ac3140775d19918d16a291122d67a817..1c71cb636b048b958b82ee74826f962301c235a1 100644 (file)
 #include <asm/arch/cpu.h>
 #include <asm/arch/omap.h>
 
-#ifndef CONFIG_SPL_BUILD
-# define CONFIG_OMAP_SERIAL
-#endif
-
 /* Common ARM Erratas */
 #define CONFIG_ARM_ERRATA_454179
 #define CONFIG_ARM_ERRATA_430973
 
 /* NS16550 Configuration */
 #define V_NS16550_CLK                  48000000        /* 48MHz (APLL96/2) */
-#define CONFIG_SYS_NS16550
+#define CONFIG_SYS_NS16550_CLK         V_NS16550_CLK
 #ifdef CONFIG_SPL_BUILD
 # define CONFIG_SYS_NS16550_SERIAL
 # define CONFIG_SYS_NS16550_REG_SIZE   (-4)
-# define CONFIG_SYS_NS16550_CLK                V_NS16550_CLK
 #endif
 #define CONFIG_SYS_BAUDRATE_TABLE      {4800, 9600, 19200, 38400, 57600, \
                                        115200}
index 741f71fc1653d176a2c5d51b1c5508900e1d667c..08130ebd1b6cbd8b44befabaaac58519b5972930 100644 (file)
 /*
  * Hardware drivers
  */
-#define CONFIG_SYS_NS16550
+#define CONFIG_SYS_NS16550_CLK         48000000
 #if defined(CONFIG_SPL_BUILD) || !defined(CONFIG_DM_SERIAL)
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
-#define CONFIG_SYS_NS16550_CLK         48000000
 #define CONFIG_SYS_NS16550_COM3                UART3_BASE
-#else
-#define CONFIG_OMAP_SERIAL
 #endif
 #define CONFIG_CONS_INDEX              3
 
index 5acbc92c3f60923c18348191dfec99992bebf94e..2d492f8ba7cd87e39fa811b6bcd7079ef6513b9e 100644 (file)
 /*
  * Hardware drivers
  */
-#define CONFIG_SYS_NS16550
+#define CONFIG_SYS_NS16550_CLK         48000000
 #if defined(CONFIG_SPL_BUILD) || !defined(CONFIG_DM_SERIAL)
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
-#define CONFIG_SYS_NS16550_CLK         48000000
-#else
-#define CONFIG_OMAP_SERIAL
 #endif
 
 /*
index 295e16303ca23ec61c4a9a3f2ff8b73204c8ab4e..31d77573c074fdd40dc2d174f24dae761dce3d19 100644 (file)
@@ -48,7 +48,6 @@
 #define CONFIG_MXC_SPI
 
 /* SPI Flash */
-#define CONFIG_SPI_FLASH_STMICRO
 
 #define TQMA6_SPI_FLASH_SECTOR_SIZE    SZ_64K
 
index 0ab69e665aba78391cf16b25122a78db202f211e..f5f53249e28a63b698de838fbd1648274b4b13d4 100644 (file)
@@ -86,7 +86,6 @@
 #define STATUS_LED_PERIOD2             (CONFIG_SYS_HZ / 2)
 
 /* NS16550 Configuration */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    (-4)
 #define CONFIG_SYS_NS16550_CLK         48000000 /* 48MHz (APLL96/2) */
  
 
 /* EEPROM */
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_CMD_EEPROM
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 2
 #define CONFIG_SYS_EEPROM_BUS_NUM      1
index 2ab5511632e118f9d9222a1647c20145c316451f..bdc5dc02ea2dd79bdf1682533abaacacd3e950e8 100644 (file)
@@ -22,8 +22,6 @@
 #define CONFIG_MACH_TYPE               MACH_TYPE_TRIMSLICE
 
 /* SPI */
-#define CONFIG_TEGRA20_SFLASH
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
 #define CONFIG_CMD_SPI
 #define CONFIG_CMD_SF
index 4265b8a4ffa2c76b4f34cdbe123a6e57a4b5d21e..93e34544cb5041f71ce213eecd370037a9aa7854 100644 (file)
@@ -266,7 +266,6 @@ MMCARGS
 #define CONFIG_OMAP3_SPI
 #define CONFIG_CMD_SPI
 #define CONFIG_CMD_SF
-#define CONFIG_SPI_FLASH_STMICRO
 #define CONFIG_SF_DEFAULT_SPEED                24000000
 
 #define CONFIG_SPL_SPI_SUPPORT
index 1e71703ef0921bb1cf0abdc536b9ed2598e02d64..056259849976188fa041b68dce90b67097decca9 100644 (file)
@@ -13,7 +13,6 @@
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_DELAY_MS  10
 
 #ifdef CONFIG_SYS_NS16550_SERIAL
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_COM1                CONFIG_SUPPORT_CARD_UART_BASE
 #define CONFIG_SYS_NS16550_CLK         12288000
 #define CONFIG_SYS_NS16550_REG_SIZE    -2
index 92726c8214be199f39e6102cae28f8620690a4b6..872f2f0c99f898a9a99269170e2196f877e062db 100644 (file)
@@ -54,7 +54,6 @@
 #endif
 
 #define CONFIG_SYS_NS16550_SERIAL
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_REG_SIZE    -4
 #define CONFIG_SYS_NS16550_COM1                UART_1_BASE
 #define CONFIG_CONS_INDEX              1
index f5df4fb9da84cb2f1f63ae78cb648c861da5b7d0..bc2d441a5e00f423524280c054934fc2b0026506 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index a374cd948849f7f69fd8863439d0c1bed5235c54..4a0b4483325c38ae6a010b2fffbeecd465a4ebe9 100644 (file)
@@ -36,7 +36,6 @@
 #define CONFIG_ENV_OFFSET              (-CONFIG_ENV_SIZE)
 
 /* SPI */
-#define CONFIG_SPI_FLASH_WINBOND
 #define CONFIG_SF_DEFAULT_MODE         SPI_MODE_0
 #define CONFIG_SF_DEFAULT_SPEED        24000000
 #define CONFIG_CMD_SPI
index a99d712a47d0025b2995a8e69c4ffcafaa598b98..c767f90e885e70f4faf710541ef6c03ab4237dd7 100644 (file)
@@ -35,8 +35,6 @@
 #elif CONFIG_TARGET_VEXPRESS64_JUNO
 #define CONFIG_SYS_TEXT_BASE           0xe0000000
 #define CONFIG_SYS_INIT_SP_ADDR         (CONFIG_SYS_SDRAM_BASE + 0x7fff0)
-#else
-#error "Unknown board variant"
 #endif
 
 #define CONFIG_SYS_BOOTM_LEN (64 << 20)      /* Increase max gunzip size */
 #elif CONFIG_TARGET_VEXPRESS64_JUNO
 #define GICD_BASE                      (0x2C010000)
 #define GICC_BASE                      (0x2C02f000)
-#else
-#error "Unknown board variant"
 #endif
 #endif /* !CONFIG_GICV3 */
 
 #define CONFIG_SYS_LOAD_ADDR           (V2M_BASE + 0x10000000)
 
 /* Physical Memory Map */
-#define CONFIG_NR_DRAM_BANKS           2
 #define PHYS_SDRAM_1                   (V2M_BASE)      /* SDRAM Bank #1 */
-#define PHYS_SDRAM_2                   (0x880000000)
 /* Top 16MB reserved for secure world use */
 #define DRAM_SEC_SIZE          0x01000000
 #define PHYS_SDRAM_1_SIZE      0x80000000 - DRAM_SEC_SIZE
-#define PHYS_SDRAM_2_SIZE      0x180000000
 #define CONFIG_SYS_SDRAM_BASE  PHYS_SDRAM_1
 
+#ifdef CONFIG_TARGET_VEXPRESS64_JUNO
+#define CONFIG_NR_DRAM_BANKS           2
+#define PHYS_SDRAM_2                   (0x880000000)
+#define PHYS_SDRAM_2_SIZE              0x180000000
+#else
+#define CONFIG_NR_DRAM_BANKS           1
+#endif
+
 /* Enable memtest */
 #define CONFIG_CMD_MEMTEST
 #define CONFIG_SYS_MEMTEST_START       PHYS_SDRAM_1
 
 #define CONFIG_BOOTDELAY               1
 
-#else
-#error "Unknown board variant"
 #endif
 
-/* Do not preserve environment */
-#define CONFIG_ENV_IS_NOWHERE          1
-#define CONFIG_ENV_SIZE                        0x1000
-
 /* Monitor Command Prompt */
 #define CONFIG_SYS_CBSIZE              512     /* Console I/O Buffer Size */
 #define CONFIG_SYS_PBSIZE              (CONFIG_SYS_CBSIZE + \
 #define CONFIG_CMDLINE_EDITING
 #define CONFIG_SYS_MAXARGS             64      /* max command args */
 
-/* Flash memory is available on the Juno board only */
-#ifndef CONFIG_TARGET_VEXPRESS64_JUNO
-#define CONFIG_SYS_NO_FLASH
+#ifdef CONFIG_TARGET_VEXPRESS64_JUNO
+#define CONFIG_SYS_FLASH_BASE          0x08000000
+/* 255 x 256KiB sectors + 4 x 64KiB sectors at the end = 259 */
+#define CONFIG_SYS_MAX_FLASH_SECT      259
+/* Store environment at top of flash in the same location as blank.img */
+/* in the Juno firmware. */
+#define CONFIG_ENV_ADDR                        0x0BFC0000
+#define CONFIG_ENV_SECT_SIZE           0x00010000
 #else
+#define CONFIG_SYS_FLASH_BASE          0x0C000000
+/* 256 x 256KiB sectors */
+#define CONFIG_SYS_MAX_FLASH_SECT      256
+/* Store environment at top of flash */
+#define CONFIG_ENV_ADDR                        0x0FFC0000
+#define CONFIG_ENV_SECT_SIZE           0x00040000
+#endif
+
 #define CONFIG_CMD_ARMFLASH
 #define CONFIG_SYS_FLASH_CFI           1
 #define CONFIG_FLASH_CFI_DRIVER                1
 #define CONFIG_SYS_FLASH_CFI_WIDTH     FLASH_CFI_32BIT
-#define CONFIG_SYS_FLASH_BASE          0x08000000
-#define CONFIG_SYS_FLASH_SIZE          0x04000000 /* 64 MiB */
-#define CONFIG_SYS_MAX_FLASH_BANKS     2
+#define CONFIG_SYS_MAX_FLASH_BANKS     1
 
-/* Timeout values in ticks */
-#define CONFIG_SYS_FLASH_ERASE_TOUT    (2 * CONFIG_SYS_HZ) /* Erase Timeout */
-#define CONFIG_SYS_FLASH_WRITE_TOUT    (2 * CONFIG_SYS_HZ) /* Write Timeout */
-
-/* 255 0x40000 sectors + first or last sector may have 4 erase regions = 259 */
-#define CONFIG_SYS_MAX_FLASH_SECT      259             /* Max sectors */
 #define CONFIG_SYS_FLASH_USE_BUFFER_WRITE /* use buffered writes */
 #define CONFIG_SYS_FLASH_PROTECTION    /* The devices have real protection */
 #define CONFIG_SYS_FLASH_EMPTY_INFO    /* flinfo indicates empty blocks */
+#define FLASH_MAX_SECTOR_SIZE          0x00040000
+#define CONFIG_ENV_SIZE                        CONFIG_ENV_SECT_SIZE
+#define CONFIG_ENV_IS_IN_FLASH         1
 
-#endif
 
 #endif /* __VEXPRESS_AEMV8A_H */
index a3ea2e0a1fabe01e779ebb5eca9f1f1e05d28580..34df6f03529087bc9e7d1932d85cd9299e9e0bce 100644 (file)
 #define CONFIG_PHY_MICREL
 
 /* QSPI Configs*/
-#define CONFIG_FSL_QSPI
 
 #ifdef CONFIG_FSL_QSPI
 #define CONFIG_CMD_SF
-#define CONFIG_SPI_FLASH_SPANSION
 #define FSL_QSPI_FLASH_SIZE            (1 << 24)
 #define FSL_QSPI_FLASH_NUM             2
 #define CONFIG_SYS_FSL_QSPI_LE
index 7f5f089b12b938459b1bc260789824474549fbc5..714ebeec51f33c44c5df869ccda2083f5a0087ac 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 8b803a2ee016115e554c24370185ad657af3a122..dd6b5196a024ca09f9ec60db712859a0c2163c11 100644 (file)
@@ -80,7 +80,6 @@
  */
 #define CONFIG_SYS_I2C_PPC4XX_SPEED_0          400000
 
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR     (0xa8>>1)
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS 3
index b0aa875f5e1dc1f92fc9a080388c8c6bd2357605..9fb1a7a2596e9b0ec4cee2f0381dd7e3fafb4f38 100644 (file)
@@ -50,7 +50,7 @@
 #define CONFIG_ENV_IS_IN_SPI_FLASH
 #define CONFIG_ENV_OFFSET              0x003f8000
 
-#define CONFIG_STD_DEVICES_SETTINGS     "stdin=usbkbd,vga,serial\0" \
+#define CONFIG_STD_DEVICES_SETTINGS     "stdin=usbkbd,i8042-kbd,serial\0" \
                                        "stdout=vga,serial\0" \
                                        "stderr=vga,serial\0"
 
index 33263ab60c08430a25f792cd27584da89b48946a..65d50ca179892c2bd27675c8d05a2bf2ece06c55 100644 (file)
@@ -59,7 +59,6 @@
 /*-----------------------------------------------------------------------
  * Serial Configuration
  */
-#define CONFIG_SYS_NS16550
 #define CONFIG_BAUDRATE                        115200
 #define CONFIG_SYS_BAUDRATE_TABLE      {300, 600, 1200, 2400, 4800, \
                                         9600, 19200, 38400, 115200}
 #define CONFIG_VIDEO
 #define CONFIG_VIDEO_SW_CURSOR
 #define VIDEO_FB_16BPP_WORD_SWAP
-#define CONFIG_I8042_KBD
+#define CONFIG_VGA_AS_SINGLE_DEVICE
 #define CONFIG_CFB_CONSOLE
 #define CONFIG_CONSOLE_SCROLL_LINES 5
 
 /*-----------------------------------------------------------------------
  * FLASH configuration
  */
-#define CONFIG_ICH_SPI
-#define CONFIG_SPI_FLASH_MACRONIX
-#define CONFIG_SPI_FLASH_WINBOND
-#define CONFIG_SPI_FLASH_GIGADEVICE
 #define CONFIG_SYS_NO_FLASH
 #define CONFIG_CMD_SF
 #define CONFIG_CMD_SF_TEST
index 1abd0eff0f5c0b55bf10f9738a5deaec8c52f8a4..eb400d09607402d56edfd57a1fc455b1a1cccd19 100644 (file)
 #define CONFIG_SYS_BAUDRATE_TABLE      { CONFIG_BAUDRATE }
 #else
 #ifdef XPAR_UARTNS550_0_BASEADDR
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    4
 #define CONFIG_CONS_INDEX              1
index 36c11009fc3dafc9a5616c827404ca6208e3ed54..6b8b9f83e9fd7c54f5fc8b014dc72ddf4e3b91d8 100644 (file)
@@ -84,7 +84,6 @@
 
 /* SPI */
 #ifdef CONFIG_ZYNQ_SPI
-# define CONFIG_SPI_FLASH_SST
 # define CONFIG_CMD_SF
 #endif
 
@@ -94,6 +93,9 @@
 # define CONFIG_SDHCI
 # define CONFIG_ZYNQ_SDHCI
 # define CONFIG_CMD_MMC
+# ifndef CONFIG_ZYNQ_SDHCI_MAX_FREQ
+#  define CONFIG_ZYNQ_SDHCI_MAX_FREQ   200000000
+# endif
 #endif
 
 #if defined(CONFIG_ZYNQ_SDHCI)
 # define CONFIG_SYS_FAULT_ECHO_LINK_DOWN
 # define CONFIG_PHYLIB
 # define CONFIG_PHY_MARVELL
+# define CONFIG_PHY_TI
 #endif
 
 /* I2C */
index ed6023a7bfabc55d789d5e83c0b2fb6e6d29fc70..8bdb5c9c6d5c4fcc66471cc90b6437b6982fffe0 100644 (file)
@@ -19,6 +19,7 @@
 #define CONFIG_ZYNQ_GEM_PHY_ADDR0      7
 
 #define CONFIG_ZYNQ_SDHCI0
+#define CONFIG_ZYNQ_SDHCI_MAX_FREQ     52000000
 #define CONFIG_ZYNQ_I2C0
 #define CONFIG_SYS_I2C_ZYNQ
 #define CONFIG_ZYNQ_EEPROM
index 005f1495fe036615eb569f72da24373bf5b9942e..5bc926f06760c2b5abe47d5ced3db1dfa04b6ce9 100644 (file)
@@ -97,7 +97,6 @@ extern void out32(unsigned int, unsigned long);
  * Serial Port
  */
 #define CONFIG_CONS_INDEX      1       /* Use UART0                    */
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_serial_clock()
index 3e09635689c84fa80de2bea5604eac6bd206d38c..96b357b6fd4f25380f17d60820c4775f652c7458 100644 (file)
@@ -214,7 +214,6 @@ extern unsigned long get_board_sys_clk(unsigned long dummy);
  * Serial Port
  */
 #define CONFIG_CONS_INDEX              1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 39bdb88a97926f5c94dffb732a31e2ca22fca80a..b2d6a1e5d9dd6b08a063dbc264ce56d7d175d262 100644 (file)
  * Serial Port
  */
 #define CONFIG_CONS_INDEX              1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index c687555b8ee03b2740d6239407691fb2b185be19..8b4d4d96fd8e6e7eecbae2af3974a0da5ad24745 100644 (file)
@@ -213,7 +213,6 @@ extern unsigned long get_board_ddr_clk(unsigned long dummy);
  * Serial Port
  */
 #define CONFIG_CONS_INDEX              1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index f971f8b403b1475b006c0070e453fdd3ccb21126..c7e25d9302edc5a630caf0e5fb32d8e189bb002f 100644 (file)
@@ -204,7 +204,6 @@ extern unsigned long get_board_ddr_clk(unsigned long dummy);
  * Serial Port
  */
 #define CONFIG_CONS_INDEX              1
-#define CONFIG_SYS_NS16550
 #define CONFIG_SYS_NS16550_SERIAL
 #define CONFIG_SYS_NS16550_REG_SIZE    1
 #define CONFIG_SYS_NS16550_CLK         get_bus_freq(0)
index 8508a8029e1d645f3746287c52f07858c1596d31..0cffab8c0f03c26467b92848e5484dbe68c61e15 100644 (file)
  *----------------------------------------------------------------------*/
 #define CONFIG_SYS_I2C_PPC4XX_SPEED_0          400000
 
-#define CONFIG_SYS_I2C_MULTI_EEPROMS
 #define CONFIG_SYS_I2C_EEPROM_ADDR     (0xa8>>1)
 #define CONFIG_SYS_I2C_EEPROM_ADDR_LEN 1
 #define CONFIG_SYS_EEPROM_PAGE_WRITE_BITS 3
index 99a3d021ad57e40ebe1417a8bcaa9d5f208add52..fa83ac7b15d07f1faf8cd9b3d6c81562f5fe4740 100644 (file)
@@ -67,7 +67,6 @@
 
 /* SPI */
 #ifdef CONFIG_ZYNQ_SPI
-# define CONFIG_SPI_FLASH_SST
 # define CONFIG_CMD_SF
 #endif
 
@@ -75,9 +74,6 @@
 #ifdef CONFIG_ZYNQ_QSPI
 # define CONFIG_SF_DEFAULT_SPEED       30000000
 # define CONFIG_SPI_FLASH_ISSI
-# define CONFIG_SPI_FLASH_SPANSION
-# define CONFIG_SPI_FLASH_STMICRO
-# define CONFIG_SPI_FLASH_WINBOND
 # define CONFIG_SPI_FLASH_BAR
 # define CONFIG_CMD_SF
 #endif
 # define CONFIG_SDHCI
 # define CONFIG_ZYNQ_SDHCI
 # define CONFIG_CMD_MMC
+# define CONFIG_ZYNQ_SDHCI_MAX_FREQ    52000000
 #endif
 
 #ifdef CONFIG_ZYNQ_USB
 #define CONFIG_SYS_MMCSD_FS_BOOT_PARTITION     1
 #define CONFIG_SPL_LIBDISK_SUPPORT
 #define CONFIG_SPL_FAT_SUPPORT
-#ifdef CONFIG_OF_CONTROL
+#ifdef CONFIG_OF_SEPARATE
 # define CONFIG_SPL_FS_LOAD_PAYLOAD_NAME     "u-boot-dtb.img"
 #else
 # define CONFIG_SPL_FS_LOAD_PAYLOAD_NAME     "u-boot.img"
index 63224dd74445e4a9addbfba2d85a6c73e97719b0..dbc829e6e409ad06f27267bb915b79a3a8000a42 100644 (file)
@@ -18,7 +18,6 @@
 # define CONFIG_ZYNQ_GEM0
 # define CONFIG_ZYNQ_GEM_PHY_ADDR0     7
 # define CONFIG_ZYNQ_SDHCI0
-# define CONFIG_ZYNQ_SPI
 
 #elif defined(CONFIG_ZC770_XM011)
 
diff --git a/include/console.h b/include/console.h
new file mode 100644 (file)
index 0000000..3d37f6a
--- /dev/null
@@ -0,0 +1,52 @@
+/*
+ * (C) Copyright 2000-2009
+ * Wolfgang Denk, DENX Software Engineering, wd@denx.de.
+ *
+ * SPDX-License-Identifier:    GPL-2.0+
+ */
+
+#ifndef __CONSOLE_H
+#define __CONSOLE_H
+
+extern char console_buffer[];
+
+/* common/console.c */
+int console_init_f(void);      /* Before relocation; uses the serial  stuff */
+int console_init_r(void);      /* After  relocation; uses the console stuff */
+int console_assign(int file, const char *devname);     /* Assign the console */
+int ctrlc(void);
+int had_ctrlc(void);   /* have we had a Control-C since last clear? */
+void clear_ctrlc(void);        /* clear the Control-C condition */
+int disable_ctrlc(int);        /* 1 to disable, 0 to enable Control-C detect */
+int confirm_yesno(void);        /*  1 if input is "y", "Y", "yes" or "YES" */
+
+/**
+ * console_record_init() - set up the console recording buffers
+ *
+ * This should be called as soon as malloc() is available so that the maximum
+ * amount of console output can be recorded.
+ */
+int console_record_init(void);
+
+/**
+ * console_record_reset() - reset the console recording buffers
+ *
+ * Removes any data in the buffers
+ */
+void console_record_reset(void);
+
+/**
+ * console_record_reset_enable() - reset and enable the console buffers
+ *
+ * This should be called to enable the console buffer.
+ */
+void console_record_reset_enable(void);
+
+/*
+ * CONSOLE multiplexing.
+ */
+#ifdef CONFIG_CONSOLE_MUX
+#include <iomux.h>
+#endif
+
+#endif
index b9269341c39fa603caa83ae05507139428e5264b..5fa5f6f782a08276ad6c785b471a140850c5666d 100644 (file)
@@ -81,7 +81,7 @@ int cros_ec_read_id(struct cros_ec_dev *dev, char *id, int maxlen);
  * @param scan         Place to put the scan results
  * @return 0 if ok, -1 on error
  */
-int cros_ec_scan_keyboard(struct cros_ec_dev *dev, struct mbkp_keyscan *scan);
+int cros_ec_scan_keyboard(struct udevice *dev, struct mbkp_keyscan *scan);
 
 /**
  * Read which image is currently running on the CROS-EC device.
@@ -125,7 +125,7 @@ int cros_ec_reboot(struct cros_ec_dev *dev, enum ec_reboot_cmd cmd,
  * @param dev          CROS-EC device
  * @return 0 if no interrupt is pending
  */
-int cros_ec_interrupt_pending(struct cros_ec_dev *dev);
+int cros_ec_interrupt_pending(struct udevice *dev);
 
 enum {
        CROS_EC_OK,
index 322d35a4789c2f81a1401d066af09f3a47b22983..9388870d0c2a973b58e6447e167af9c3b0046690 100644 (file)
@@ -107,32 +107,6 @@ int device_unbind(struct udevice *dev);
 static inline int device_unbind(struct udevice *dev) { return 0; }
 #endif
 
-/**
- * device_remove_children() - Stop all device's children
- * @dev:       The device whose children are to be removed
- * @return 0 on success, -ve on error
- */
-#if CONFIG_IS_ENABLED(DM_DEVICE_REMOVE)
-int device_remove_children(struct udevice *dev);
-#else
-static inline int device_remove_children(struct udevice *dev) { return 0; }
-#endif
-
-/**
- * device_unbind_children() - Unbind all device's children from the device
- *
- * On error, the function continues to unbind all children, and reports the
- * first error.
- *
- * @dev:       The device that is to be stripped of its children
- * @return 0 on success, -ve on error
- */
-#if CONFIG_IS_ENABLED(DM_DEVICE_REMOVE)
-int device_unbind_children(struct udevice *dev);
-#else
-static inline int device_unbind_children(struct udevice *dev) { return 0; }
-#endif
-
 #if CONFIG_IS_ENABLED(DM_DEVICE_REMOVE)
 void device_free(struct udevice *dev);
 #else
index 28ba4ca404c266eae5475822a599666a4b035121..7fb99356be0d8c979d44640a7013b6d6bc61f540 100644 (file)
@@ -509,6 +509,18 @@ static inline bool device_is_on_pci_bus(struct udevice *dev)
        return device_get_uclass_id(dev->parent) == UCLASS_PCI;
 }
 
+/**
+ * device_foreach_child_safe() - iterate through child devices safely
+ *
+ * This allows the @pos child to be removed in the loop if required.
+ *
+ * @pos: struct udevice * for the current device
+ * @next: struct udevice * for the next device
+ * @parent: parent device to scan
+ */
+#define device_foreach_child_safe(pos, next, parent)   \
+       list_for_each_entry_safe(pos, next, &parent->child_head, sibling_node)
+
 /* device resource management */
 typedef void (*dr_release_t)(struct udevice *dev, void *res);
 typedef int (*dr_match_t)(struct udevice *dev, void *res, void *match_data);
index 327de3486b5511c5a6b43156ff04694dd1bd7151..27fa0b68db158f821b852c14f228fc63418bec0b 100644 (file)
@@ -37,6 +37,7 @@ enum uclass_id {
        UCLASS_I2C_EEPROM,      /* I2C EEPROM device */
        UCLASS_I2C_GENERIC,     /* Generic I2C device */
        UCLASS_I2C_MUX,         /* I2C multiplexer */
+       UCLASS_KEYBOARD,        /* Keyboard input device */
        UCLASS_LED,             /* Light-emitting diode (LED) */
        UCLASS_LPC,             /* x86 'low pin count' interface */
        UCLASS_MASS_STORAGE,    /* Mass storage device */
index d214b887341b9b8711fc1e71ec4c2568c3367c71..bfbd27afd6baa398d19267767480d8e3b94da434 100644 (file)
@@ -243,4 +243,19 @@ int uclass_resolve_seq(struct udevice *dev);
 #define uclass_foreach_dev(pos, uc)    \
        list_for_each_entry(pos, &uc->dev_head, uclass_node)
 
+/**
+ * uclass_foreach_dev_safe() - Helper function to safely iteration through devs
+ *
+ * This creates a for() loop which works through the available devices in
+ * a uclass in order from start to end. Inside the loop, it is safe to remove
+ * @pos if required.
+ *
+ * @pos: struct udevice * to hold the current device. Set to NULL when there
+ * are no more devices.
+ * @next: struct udevice * to hold the next next
+ * @uc: uclass to scan
+ */
+#define uclass_foreach_dev_safe(pos, next, uc) \
+       list_for_each_entry_safe(pos, next, &uc->dev_head, uclass_node)
+
 #endif
index 3a6ff1f8aca127ecd59c69bab16660adc5005a63..79826d78fad2244b6a517f5db5a5291ad6316652 100644 (file)
@@ -118,7 +118,6 @@ enum fdt_compat_id {
        COMPAT_UNKNOWN,
        COMPAT_NVIDIA_TEGRA20_EMC,      /* Tegra20 memory controller */
        COMPAT_NVIDIA_TEGRA20_EMC_TABLE, /* Tegra20 memory timing table */
-       COMPAT_NVIDIA_TEGRA20_KBC,      /* Tegra20 Keyboard */
        COMPAT_NVIDIA_TEGRA20_NAND,     /* Tegra2 NAND controller */
        COMPAT_NVIDIA_TEGRA20_PWM,      /* Tegra 2 PWM controller */
        COMPAT_NVIDIA_TEGRA124_DC,      /* Tegra 124 Display controller */
index 6493931c3539daac140518146974ee49e83ca1ff..1f5ae4538a70e00ce846ee9fa3262a11aaaebc15 100644 (file)
@@ -499,7 +499,8 @@ int i2c_get_chip(struct udevice *bus, uint chip_addr, uint offset_len,
                 struct udevice **devp);
 
 /**
- * i2c_get_chip() - get a device to use to access a chip on a bus number
+ * i2c_get_chip_for_busnum() - get a device to use to access a chip on
+ *                            a bus number
  *
  * This returns the device for the given chip address on a particular bus
  * number.
index e0afce174abf0c0d3b9af95aa7ea3049539ada57..9723b6a664184fcd2eb714c82d191487223dc25b 100644 (file)
@@ -87,10 +87,4 @@ void i8042_flush(void);
  */
 int i8042_disable(void);
 
-struct stdio_dev;
-
-int i8042_kbd_init(void);
-int i8042_tstc(struct stdio_dev *dev);
-int i8042_getc(struct stdio_dev *dev);
-
 #endif /* _I8042_H_ */
index 08ae24a86b84ec150012f46ec6cbdc399a6f2acd..299d6d2a13d23c51982b7caea45bdbc6a4f7664e 100644 (file)
@@ -248,8 +248,9 @@ struct lmb;
 #define IH_TYPE_RKIMAGE                23      /* Rockchip Boot Image          */
 #define IH_TYPE_RKSD           24      /* Rockchip SD card             */
 #define IH_TYPE_RKSPI          25      /* Rockchip SPI image           */
+#define IH_TYPE_ZYNQIMAGE      26      /* Xilinx Zynq Boot Image */
 
-#define IH_TYPE_COUNT          26      /* Number of image types */
+#define IH_TYPE_COUNT          27      /* Number of image types */
 
 /*
  * Compression Types
index 26e2ad700fe3163721639b03c6ab9f78d12fcc09..2902b079b23dee172b1f0b69713fccb9bc26b6a8 100644 (file)
@@ -17,8 +17,8 @@ enum {
 enum {
        /* Keyboard LEDs */
        INPUT_LED_SCROLL        = 1 << 0,
-       INPUT_LED_CAPS          = 1 << 1,
-       INPUT_LED_NUM           = 1 << 2,
+       INPUT_LED_NUM           = 1 << 1,
+       INPUT_LED_CAPS          = 1 << 2,
 };
 
 /*
@@ -36,13 +36,15 @@ struct input_key_xlate {
 };
 
 struct input_config {
+       struct udevice *dev;
        uchar fifo[INPUT_BUFFER_LEN];
        int fifo_in, fifo_out;
 
        /* Which modifiers are active (1 bit for each MOD_... value) */
        uchar modifiers;
        uchar flags;            /* active state keys (FLAGS_...) */
-       uchar leds;             /* active LEDS (INPUT_LED_...) */
+       uchar leds;             /* active LEDs (INPUT_LED_...) */
+       uchar leds_changed;     /* LEDs that just changed */
        uchar num_tables;       /* number of modifier tables */
        int prev_keycodes[INPUT_BUFFER_LEN];    /* keys held last time */
        int num_prev_keycodes;  /* number of prev keys */
@@ -56,6 +58,7 @@ struct input_config {
         *              unknown
         */
        int (*read_keys)(struct input_config *config);
+       bool allow_repeats;             /* Don't filter out repeats */
        unsigned int next_repeat_ms;    /* Next time we repeat a key */
        unsigned int repeat_delay_ms;   /* Time before autorepeat starts */
        unsigned int repeat_rate_ms;    /* Autorepeat rate in ms */
@@ -74,6 +77,26 @@ struct stdio_dev;
  */
 int input_send_keycodes(struct input_config *config, int keycode[], int count);
 
+/**
+ * Add a new keycode to an existing list of keycodes
+ *
+ * This can be used to handle keyboards which do their own scanning. An
+ * internal list of depressed keys is maintained by the input library. Then
+ * this function is called to add a new key to the list (when a 'make code' is
+ * received), or remove a key (when a 'break code' is received).
+ *
+ * This function looks after maintenance of the list of active keys, and calls
+ * input_send_keycodes() with its updated list.
+ *
+ * @param config       Input state
+ * @param new_keycode  New keycode to add/remove
+ * @param release      true if this key was released, false if depressed
+ * @return number of ascii characters sent, or 0 if none, or -1 for an
+ *     internal error
+ */
+int input_add_keycode(struct input_config *config, int new_keycode,
+                     bool release);
+
 /**
  * Add a new key translation table to the input
  *
@@ -121,6 +144,46 @@ int input_stdio_register(struct stdio_dev *dev);
 void input_set_delays(struct input_config *config, int repeat_delay_ms,
               int repeat_rate_ms);
 
+/**
+ * Tell the input layer whether to allow the caller to determine repeats
+ *
+ * Generally the input library handles processing of a list of scanned keys.
+ * Repeated keys need to be generated based on a timer in this case, since all
+ * that is provided is a list of keys current depressed.
+ *
+ * Keyboards which do their own scanning will resend codes when they want to
+ * inject a repeating key. This function can be called at start-up to select
+ * this behaviour.
+ *
+ * @param config       Input state
+ * @param allow_repeats        true to repeat depressed keys every time
+ *                     input_send_keycodes() is called, false to do normal
+ *                     keyboard repeat processing with a timer.
+ */
+void input_allow_repeats(struct input_config *config, bool allow_repeats);
+
+/**
+ * Check if keyboard LEDs need to be updated
+ *
+ * This can be called after input_tstc() to see if keyboard LEDs need
+ * updating.
+ *
+ * @param config       Input state
+ * @return -1 if no LEDs need updating, other value if they do
+ */
+int input_leds_changed(struct input_config *config);
+
+/**
+ * Set up the key map tables
+ *
+ * This must be called after input_init() or keycode decoding will not work.
+ *
+ * @param config       Input state
+ * @param german       true to use German keyboard layout, false for US
+ * @return 0 if ok, -1 on error
+ */
+int input_add_tables(struct input_config *config, bool german);
+
 /**
  * Set up the input handler with basic key maps.
  *
index 88ae12bc0f3491117467a5707085c00b0f625f11..6725e487bcb81d1b6c725b1535baf436bd0d4fe6 100644 (file)
@@ -1,6 +1,84 @@
 #ifndef __KEYBOARD_H
 #define __KEYBOARD_H
 
+#ifdef CONFIG_DM_KEYBOARD
+#include <input.h>
+#include <stdio_dev.h>
+
+/**
+ * struct keyboard_priv - information about a keyboard, for the uclass
+ *
+ * @sdev:      stdio device
+ * @input:     input configuration (the driver may use this if desired)
+ */
+struct keyboard_priv {
+       struct stdio_dev sdev;
+
+       /*
+        * This is set up by the uclass but will only be used if the driver
+        * sets input.dev to its device pointer (it is initially NULL).
+        */
+       struct input_config input;
+};
+
+/**
+ * struct keyboard_ops - keyboard device operations
+ */
+struct keyboard_ops {
+       /**
+        * start() - enable the keyboard ready for use
+        *
+        * @dev:        Device to enable
+        * @return 0 if OK, -ve on error
+        */
+       int (*start)(struct udevice *dev);
+
+       /**
+        * stop() - disable the keyboard when no-longer needed
+        *
+        * @dev:        Device to disable
+        * @return 0 if OK, -ve on error
+        */
+       int (*stop)(struct udevice *dev);
+
+       /**
+        * tstc() - check if a key is available
+        *
+        * @dev:        Device to check
+        * @return 0 if no key is available, 1 if a key is available, -ve on
+        *         error
+        */
+       int (*tstc)(struct udevice *dev);
+
+       /**
+        * getc() - get a key
+        *
+        * TODO(sjg@chromium.org): At present this method may wait if it calls
+        * input_getc().
+        *
+        * @dev:        Device to read from
+        * @return -EAGAIN if no key is available, otherwise key value read
+        *         (as ASCII).
+        */
+       int (*getc)(struct udevice *dev);
+
+       /**
+        * update_leds() - update keyboard LEDs
+        *
+        * This is called when the LEDs have changed and need to be updated.
+        * For example, if 'caps lock' is pressed then this method will be
+        * called with the new LED value.
+        *
+        * @dev:        Device to update
+        * @leds:       New LED mask (see INPUT_LED_... in input.h)
+        */
+       int (*update_leds)(struct udevice *dev, int leds);
+};
+
+#define keyboard_get_ops(dev)  ((struct keyboard_ops *)(dev)->driver->ops)
+
+#else
+
 #ifdef CONFIG_PS2MULT
 #include <ps2mult.h>
 #endif
@@ -18,5 +96,11 @@ extern int kbd_init (void);
 extern void handle_scancode(unsigned char scancode);
 extern int kbd_init_hw(void);
 extern void pckbd_leds(unsigned char leds);
+#endif /* !CONFIG_DM_KEYBOARD */
+
+#if defined(CONFIG_MPC5xxx) || defined(CONFIG_MPC8540) || \
+               defined(CONFIG_MPC8541) || defined(CONFIG_MPC8555)
+int ps2ser_check(void);
+#endif
 
 #endif /* __KEYBOARD_H */
index 822fca0357b551021c7039f7014089c606c06e1a..0ad4782a36e820731e5dd4436ba5c598c92da50d 100644 (file)
@@ -229,6 +229,8 @@ struct usb_ctrlrequest {
 #define USB_DT_PIPE_USAGE              0x24
 /* From the USB 3.0 spec */
 #define        USB_DT_SS_ENDPOINT_COMP         0x30
+/* From HID 1.11 spec */
+#define USB_DT_HID_REPORT              0x22
 
 /* Conventional codes for class-specific descriptors.  The convention is
  * defined in the USB "Common Class" Spec (3.11).  Individual class specs
@@ -385,6 +387,24 @@ struct usb_generic_descriptor {
        __u8  bDescriptorType;
 };
 
+struct __packed usb_class_hid_descriptor {
+       u8 bLength;
+       u8 bDescriptorType;
+       u16 bcdCDC;
+       u8 bCountryCode;
+       u8 bNumDescriptors;     /* 0x01 */
+       u8 bDescriptorType0;
+       u16 wDescriptorLength0;
+       /* optional descriptors are not supported. */
+};
+
+struct __packed usb_class_report_descriptor {
+       u8 bLength;     /* dummy */
+       u8 bDescriptorType;
+       u16 wLength;
+       u8 bData[0];
+};
+
 /*
  * Endpoints
  */
diff --git a/include/membuff.h b/include/membuff.h
new file mode 100644 (file)
index 0000000..78918e7
--- /dev/null
@@ -0,0 +1,246 @@
+/*
+ * Copyright (c) 2015 Google, Inc
+ * Written by Simon Glass <sjg@chromium.org>
+ *
+ * Copyright (c) 1992 Simon Glass
+ *
+ * SPDX-License-Identifier:    GPL-2.0+
+ */
+
+#ifndef _MEMBUFF_H
+#define _MEMBUFF_H
+
+/**
+ * @struct membuff: holds the state of a membuff - it is used for input and
+ * output buffers. The buffer extends from @start to (@start + @size - 1).
+ * Data in the buffer extends from @tail to @head: it is written in at
+ * @head and read out from @tail. The membuff is empty when @head == @tail
+ * and full when adding another character would make @head == @tail. We
+ * therefore waste one character in the membuff to avoid having an extra flag
+ * to determine whether (when @head == @tail) the membuff is empty or full.
+ *
+ * xxxxxx  data
+ * ......  empty
+ *
+ * .............xxxxxxxxxxxxxxxx.........................
+ *             ^               ^
+ *             tail            head
+ *
+ * xxxxxxxxxxxxx................xxxxxxxxxxxxxxxxxxxxxxxxx
+ *             ^               ^
+ *             head            tail
+ */
+struct membuff {
+       char *start;            /** the start of the buffer */
+       char *end;              /** the end of the buffer (start + length) */
+       char *head;             /** current buffer head */
+       char *tail;             /** current buffer tail */
+};
+
+/**
+ * membuff_purge() - reset a membuff to the empty state
+ *
+ * Initialise head and tail pointers so that the membuff becomes empty.
+ *
+ * @mb: membuff to purge
+ */
+void membuff_purge(struct membuff *mb);
+
+/**
+ * membuff_putraw() - find out where bytes can be written
+ *
+ * Work out where in the membuff some data could be written. Return a pointer
+ * to the address and the number of bytes which can be written there. If
+ * @update is true, the caller must then write the data immediately, since
+ * the membuff is updated as if the write has been done,
+ *
+ * Note that because the spare space in a membuff may not be contiguous, this
+ * function may not return @maxlen even if there is enough space in the
+ * membuff. However, by calling this function twice (with @update == true),
+ * you will get access to all the spare space.
+ *
+ * @mb: membuff to adjust
+ * @maxlen: the number of bytes we want to write
+ * @update: true to update the membuff as if the write happened, false to not
+ * @data: the address data can be written to
+ * @return number of bytes which can be written
+ */
+int membuff_putraw(struct membuff *mb, int maxlen, bool update, char **data);
+
+/**
+ * membuff_getraw() - find and return a pointer to available bytes
+ *
+ * Returns a pointer to any valid input data in the given membuff and
+ * optionally marks it as read. Note that not all input data may not be
+ * returned, since data is not necessarily contiguous in the membuff. However,
+ * if you call this function twice (with @update == true) you are guaranteed
+ * to get all available data, in at most two installments.
+ *
+ * @mb: membuff to adjust
+ * @maxlen: maximum number of bytes to get
+ * @update: true to update the membuff as if the bytes have been read (use
+ * false to check bytes without reading them)
+ * @data: returns address of data in input membuff
+ * @return the number of bytes available at *@data
+ */
+int membuff_getraw(struct membuff *mb, int maxlen, bool update, char **data);
+
+/**
+ * membuff_putbyte() - Writes a byte to a membuff
+ *
+ * @mb: membuff to adjust
+ * @ch: byte to write
+ * @return true on success, false if membuff is full
+ */
+bool membuff_putbyte(struct membuff *mb, int ch);
+
+/**
+ * @mb: membuff to adjust
+ * membuff_getbyte() - Read a byte from the membuff
+ * @return the byte read, or -1 if the membuff is empty
+ */
+int membuff_getbyte(struct membuff *mb);
+
+/**
+ * membuff_peekbyte() - check the next available byte
+ *
+ * Return the next byte which membuff_getbyte() would return, without
+ * removing it from the membuff.
+ *
+ * @mb: membuff to adjust
+ * @return the byte peeked, or -1 if the membuff is empty
+ */
+int membuff_peekbyte(struct membuff *mb);
+
+/**
+ * membuff_get() - get data from a membuff
+ *
+ * Copies any available data (up to @maxlen bytes) to @buff and removes it
+ * from the membuff.
+ *
+ * @mb: membuff to adjust
+ * @Buff: address of membuff to transfer bytes to
+ * @maxlen: maximum number of bytes to read
+ * @return the number of bytes read
+ */
+int membuff_get(struct membuff *mb, char *buff, int maxlen);
+
+/**
+ * membuff_put() - write data to a membuff
+ *
+ * Writes some data to a membuff. Returns the number of bytes added. If this
+ * is less than @lnehgt, then the membuff got full
+ *
+ * @mb: membuff to adjust
+ * @data: the data to write
+ * @length: number of bytes to write from 'data'
+ * @return the number of bytes added
+ */
+int membuff_put(struct membuff *mb, const char *buff, int length);
+
+/**
+ * membuff_isempty() - check if a membuff is empty
+ *
+ * @mb: membuff to check
+ * @return true if empty, else false
+ */
+bool membuff_isempty(struct membuff *mb);
+
+/**
+ * membuff_avail() - check available data in a membuff
+ *
+ * @mb: membuff to check
+ * @return number of bytes of data available
+ */
+int membuff_avail(struct membuff *mb);
+
+/**
+ * membuff_size() - get the size of a membuff
+ *
+ * Note that a membuff can only old data up to one byte less than its size.
+ *
+ * @mb: membuff to check
+ * @return total size
+ */
+int membuff_size(struct membuff *mb);
+
+/**
+ * membuff_makecontig() - adjust all membuff data to be contiguous
+ *
+ * This places all data in a membuff into a single contiguous lump, if
+ * possible
+ *
+ * @mb: membuff to adjust
+ * @return true on success
+ */
+bool membuff_makecontig(struct membuff *mb);
+
+/**
+ * membuff_free() - find the number of bytes that can be written to a membuff
+ *
+ * @mb: membuff to check
+ * @return returns the number of bytes free in a membuff
+ */
+int membuff_free(struct membuff *mb);
+
+/**
+ * membuff_readline() - read a line of text from a membuff
+ *
+ * Reads a line of text of up to 'maxlen' characters from a membuff and puts
+ * it in @str. Any character less than @minch is assumed to be the end of
+ * line character
+ *
+ * @mb: membuff to adjust
+ * @str: Place to put the line
+ * @maxlen: Maximum line length (excluding terminator)
+ * @return number of bytes read (including terminator) if a line has been
+ *        read, 0 if nothing was there
+ */
+int membuff_readline(struct membuff *mb, char *str, int maxlen, int minch);
+
+/**
+ * membuff_extend_by() - expand a membuff
+ *
+ * Extends a membuff by the given number of bytes
+ *
+ * @mb: membuff to adjust
+ * @by: Number of bytes to increase the size by
+ * @max: Maximum size to allow
+ * @return 0 if the expand succeeded, -ENOMEM if not enough memory, -E2BIG
+ * if the the size would exceed @max
+ */
+int membuff_extend_by(struct membuff *mb, int by, int max);
+
+/**
+ * membuff_init() - set up a new membuff using an existing membuff
+ *
+ * @mb: membuff to set up
+ * @buff: Address of buffer
+ * @size: Size of buffer
+ */
+void membuff_init(struct membuff *mb, char *buff, int size);
+
+/**
+ * membuff_uninit() - clear a membuff so it can no longer be used
+ *
+ * @mb: membuff to uninit
+ */
+void membuff_uninit(struct membuff *mb);
+
+/**
+ * membuff_new() - create a new membuff
+ *
+ * @mb: membuff to init
+ * @size: size of membuff to create
+ * @return 0 if OK, -ENOMEM if out of memory
+ */
+int membuff_new(struct membuff *mb, int size);
+
+/**
+ * membuff_dispose() - free memory allocated to a membuff and uninit it
+ *
+ * @mb: membuff to dispose
+ */
+void membuff_dispose(struct membuff *mb);
+
+#endif
index 8b5ac12e2ba387b6f55f9d123136cfcb3049f439..720a867783c41f89b9d1fa6d22cdca56ac9d1c1c 100644 (file)
@@ -267,6 +267,41 @@ int is_valid_gpt_buf(block_dev_desc_t *dev_desc, void *buf);
  * @return - '0' on success, otherwise error
  */
 int write_mbr_and_gpt_partitions(block_dev_desc_t *dev_desc, void *buf);
+
+/**
+ * gpt_verify_headers() - Function to read and CRC32 check of the GPT's header
+ *                        and partition table entries (PTE)
+ *
+ * As a side effect if sets gpt_head and gpt_pte so they point to GPT data.
+ *
+ * @param dev_desc - block device descriptor
+ * @param gpt_head - pointer to GPT header data read from medium
+ * @param gpt_pte - pointer to GPT partition table enties read from medium
+ *
+ * @return - '0' on success, otherwise error
+ */
+int gpt_verify_headers(block_dev_desc_t *dev_desc, gpt_header *gpt_head,
+                      gpt_entry **gpt_pte);
+
+/**
+ * gpt_verify_partitions() - Function to check if partitions' name, start and
+ *                           size correspond to '$partitions' env variable
+ *
+ * This function checks if on medium stored GPT data is in sync with information
+ * provided in '$partitions' environment variable. Specificially, name, start
+ * and size of the partition is checked.
+ *
+ * @param dev_desc - block device descriptor
+ * @param partitions - partition data read from '$partitions' env variable
+ * @param parts - number of partitions read from '$partitions' env variable
+ * @param gpt_head - pointer to GPT header data read from medium
+ * @param gpt_pte - pointer to GPT partition table enties read from medium
+ *
+ * @return - '0' on success, otherwise error
+ */
+int gpt_verify_partitions(block_dev_desc_t *dev_desc,
+                         disk_partition_t *partitions, int parts,
+                         gpt_header *gpt_head, gpt_entry **gpt_pte);
 #endif
 
 #endif /* _PART_H */
index 3f826b66f71feb995832572bdc5bad2ccd27066c..66cf61bdfb93954bad76c13d90bccbe736669494 100644 (file)
@@ -251,6 +251,7 @@ int phy_natsemi_init(void);
 int phy_realtek_init(void);
 int phy_smsc_init(void);
 int phy_teranetics_init(void);
+int phy_ti_init(void);
 int phy_vitesse_init(void);
 
 int board_phy_config(struct phy_device *phydev);
index 3d0facbed9f6af5d6195cbe05b00b326c11429f9..55b9268ea16ad9b12650699decf3381b06e8473f 100644 (file)
@@ -937,6 +937,17 @@ int usb_emul_control(struct udevice *emul, struct usb_device *udev,
 int usb_emul_bulk(struct udevice *emul, struct usb_device *udev,
                  unsigned long pipe, void *buffer, int length);
 
+/**
+ * usb_emul_int() - Send an interrupt packet to an emulator
+ *
+ * @emul:      Emulator device
+ * @udev:      USB device (which the emulator is causing to appear)
+ * See struct dm_usb_ops for details on other parameters
+ * @return 0 if OK, -ve on error
+ */
+int usb_emul_int(struct udevice *emul, struct usb_device *udev,
+                 unsigned long pipe, void *buffer, int length, int interval);
+
 /**
  * usb_emul_find() - Find an emulator for a particular device
  *
@@ -949,6 +960,16 @@ int usb_emul_bulk(struct udevice *emul, struct usb_device *udev,
  */
 int usb_emul_find(struct udevice *bus, ulong pipe, struct udevice **emulp);
 
+/**
+ * usb_emul_find_for_dev() - Find an emulator for a particular device
+ *
+ * @bus:       USB bus (controller)
+ * @dev:       USB device to check
+ * @emulp:     Returns pointer to emulator, or NULL if not found
+ * @return 0 if found, -ve on error
+ */
+int usb_emul_find_for_dev(struct udevice *dev, struct udevice **emulp);
+
 /**
  * usb_emul_reset() - Reset all emulators ready for use
  *
@@ -957,4 +978,12 @@ int usb_emul_find(struct udevice *bus, ulong pipe, struct udevice **emulp);
  */
 void usb_emul_reset(struct udevice *dev);
 
+/**
+ * usb_show_tree() - show the USB device tree
+ *
+ * This shows a list of active USB devices along with basic information about
+ * each.
+ */
+void usb_show_tree(void);
+
 #endif /*_USB_H_ */
index 30e84ed31537b46b9d5011cbbb153dab4e086a03..9d580e4115e6ac8218a8ca9e4801460010e53f1c 100644 (file)
@@ -36,6 +36,16 @@ config SYS_VSNPRINTF
          Thumb-2, about 420 bytes). Enable this option for safety when
          using sprintf() with data you do not control.
 
+config USE_TINY_PRINTF
+       bool "Enable tiny printf() version"
+       help
+         This option enables a tiny, stripped down printf version.
+         This should only be used in space limited environments,
+         like SPL versions with hard memory limits. This version
+         reduces the code size by about 2.5KiB on armv7.
+
+         The supported format specifiers are %c, %s, %u/%d and %x.
+
 config REGEX
        bool "Enable regular expression support"
        default y if NET
index 3eecefaa79fb7457fd7f850e78b4444cf3699f21..1f1ff6f205592f6cbb9ef62f6b39b75ecf5ba1a8 100644 (file)
@@ -74,12 +74,24 @@ obj-y += div64.o
 obj-y += hang.o
 obj-y += linux_compat.o
 obj-y += linux_string.o
+obj-y += membuff.o
 obj-$(CONFIG_REGEX) += slre.o
 obj-y += string.o
 obj-y += time.o
 obj-$(CONFIG_TRACE) += trace.o
 obj-$(CONFIG_LIB_UUID) += uuid.o
-obj-y += vsprintf.o
 obj-$(CONFIG_LIB_RAND) += rand.o
 
+ifdef CONFIG_SPL_BUILD
+# SPL U-Boot may use full-printf, tiny-printf or none at all
+ifdef CONFIG_USE_TINY_PRINTF
+obj-$(CONFIG_SPL_SERIAL_SUPPORT) += tiny-printf.o
+else
+obj-$(CONFIG_SPL_SERIAL_SUPPORT) += vsprintf.o
+endif
+else
+# Main U-Boot always uses the full printf support
+obj-y += vsprintf.o
+endif
+
 subdir-ccflags-$(CONFIG_CC_OPTIMIZE_LIBS_FOR_SPEED) += -O2
index 83ea4decb53198fcc5ced9ae9f104a766afe5e8b..29343fc00e3f070debd0a749541083bee59a096c 100644 (file)
@@ -5,8 +5,8 @@
  * SPDX-License-Identifier:    GPL-2.0+
  */
 
-#include <config.h>
 #include <common.h>
+#include <console.h>
 #include <div64.h>
 #include <inttypes.h>
 #include <version.h>
index f1849bcd37f7e2b3b87ec627309b430614ff888a..e0e6bb48fa40424c2cd278e67ea7c3c000a8329b 100644 (file)
@@ -24,7 +24,6 @@ static const char * const compat_names[COMPAT_COUNT] = {
        COMPAT(UNKNOWN, "<none>"),
        COMPAT(NVIDIA_TEGRA20_EMC, "nvidia,tegra20-emc"),
        COMPAT(NVIDIA_TEGRA20_EMC_TABLE, "nvidia,tegra20-emc-table"),
-       COMPAT(NVIDIA_TEGRA20_KBC, "nvidia,tegra20-kbc"),
        COMPAT(NVIDIA_TEGRA20_NAND, "nvidia,tegra20-nand"),
        COMPAT(NVIDIA_TEGRA20_PWM, "nvidia,tegra20-pwm"),
        COMPAT(NVIDIA_TEGRA124_DC, "nvidia,tegra124-dc"),
index 4128a1871c998836dde4bded2c6784d2b1826768..bdd85c4c17526eadc9d8303225f3629779763f4b 100644 (file)
@@ -8,6 +8,7 @@
 #include <common.h>
 #include <watchdog.h>
 #include <command.h>
+#include <console.h>
 #include <image.h>
 #include <malloc.h>
 #include <u-boot/zlib.h>
diff --git a/lib/membuff.c b/lib/membuff.c
new file mode 100644 (file)
index 0000000..fc37757
--- /dev/null
@@ -0,0 +1,390 @@
+/*
+ * Copyright (c) 2015 Google, Inc
+ * Written by Simon Glass <sjg@chromium.org>
+ *
+ * Copyright (c) 1992 Simon Glass
+ *
+ * SPDX-License-Identifier:    GPL-2.0+
+ */
+
+#include <common.h>
+#include <errno.h>
+#include <malloc.h>
+#include "membuff.h"
+
+void membuff_purge(struct membuff *mb)
+{
+       /* set mb->head and mb->tail so the buffers look empty */
+       mb->head = mb->start;
+       mb->tail = mb->start;
+}
+
+static int membuff_putrawflex(struct membuff *mb, int maxlen, bool update,
+                             char ***data, int *offsetp)
+{
+       int len;
+
+       /* always write to 'mb->head' */
+       assert(data && offsetp);
+       *data = &mb->start;
+       *offsetp = mb->head - mb->start;
+
+       /* if there is no buffer, we can do nothing */
+       if (!mb->start)
+               return 0;
+
+       /*
+        * if head is ahead of tail, we can write from head until the end of
+        * the buffer
+        */
+       if (mb->head >= mb->tail) {
+               /* work out how many bytes can fit here */
+               len = mb->end - mb->head - 1;
+               if (maxlen >= 0 && len > maxlen)
+                       len = maxlen;
+
+               /* update the head pointer to mark these bytes as written */
+               if (update)
+                       mb->head += len;
+
+               /*
+                * if the tail isn't at start of the buffer, then we can
+                * write one more byte right at the end
+                */
+               if ((maxlen < 0 || len < maxlen) && mb->tail != mb->start) {
+                       len++;
+                       if (update)
+                               mb->head = mb->start;
+               }
+
+       /* otherwise now we can write until head almost reaches tail */
+       } else {
+               /* work out how many bytes can fit here */
+               len = mb->tail - mb->head - 1;
+               if (maxlen >= 0 && len > maxlen)
+                       len = maxlen;
+
+               /* update the head pointer to mark these bytes as written */
+               if (update)
+                       mb->head += len;
+       }
+
+       /* return the number of bytes which can be/must be written */
+       return len;
+}
+
+int membuff_putraw(struct membuff *mb, int maxlen, bool update, char **data)
+{
+       char **datap;
+       int offset;
+       int size;
+
+       size = membuff_putrawflex(mb, maxlen, update, &datap, &offset);
+       *data = *datap + offset;
+
+       return size;
+}
+
+bool membuff_putbyte(struct membuff *mb, int ch)
+{
+       char *data;
+
+       if (membuff_putraw(mb, 1, true, &data) != 1)
+               return false;
+       *data = ch;
+
+       return true;
+}
+
+int membuff_getraw(struct membuff *mb, int maxlen, bool update, char **data)
+{
+       int len;
+
+       /* assume for now there is no data to get */
+       len = 0;
+
+       /*
+        * in this case head is ahead of tail, so we must return data between
+        *'tail' and 'head'
+        */
+       if (mb->head > mb->tail) {
+               /* work out the amount of data */
+               *data = mb->tail;
+               len = mb->head - mb->tail;
+
+               /* check it isn't too much */
+               if (maxlen >= 0 && len > maxlen)
+                       len = maxlen;
+
+               /* & mark it as read from the buffer */
+               if (update)
+                       mb->tail += len;
+       }
+
+       /*
+        * if head is before tail, then we have data between 'tail' and 'end'
+        * and some more data between 'start' and 'head'(which we can't
+        * return this time
+        */
+       else if (mb->head < mb->tail) {
+               /* work out the amount of data */
+               *data = mb->tail;
+               len = mb->end - mb->tail;
+               if (maxlen >= 0 && len > maxlen)
+                       len = maxlen;
+               if (update) {
+                       mb->tail += len;
+                       if (mb->tail == mb->end)
+                               mb->tail = mb->start;
+               }
+       }
+
+       debug("getraw: maxlen=%d, update=%d, head=%d, tail=%d, data=%d, len=%d",
+             maxlen, update, (int)(mb->head - mb->start),
+             (int)(mb->tail - mb->start), (int)(*data - mb->start), len);
+
+       /* return the number of bytes we found */
+       return len;
+}
+
+int membuff_getbyte(struct membuff *mb)
+{
+       char *data = 0;
+
+       return membuff_getraw(mb, 1, true, &data) != 1 ? -1 : *(uint8_t *)data;
+}
+
+int membuff_peekbyte(struct membuff *mb)
+{
+       char *data = 0;
+
+       return membuff_getraw(mb, 1, false, &data) != 1 ? -1 : *(uint8_t *)data;
+}
+
+int membuff_get(struct membuff *mb, char *buff, int maxlen)
+{
+       char *data = 0, *buffptr = buff;
+       int len = 1, i;
+
+       /*
+        * do this in up to two lots(see GetRaw for why) stopping when there
+        * is no more data
+        */
+       for (i = 0; len && i < 2; i++) {
+               /* get a pointer to the data available */
+               len = membuff_getraw(mb, maxlen, true, &data);
+
+               /* copy it into the buffer */
+               memcpy(buffptr, data, len);
+               buffptr += len;
+               maxlen -= len;
+       }
+
+       /* return the number of bytes read */
+       return buffptr - buff;
+}
+
+int membuff_put(struct membuff *mb, const char *buff, int length)
+{
+       char *data;
+       int towrite, i, written;
+
+       for (i = written = 0; i < 2; i++) {
+               /* ask where some data can be written */
+               towrite = membuff_putraw(mb, length, true, &data);
+
+               /* and write it, updating the bytes length */
+               memcpy(data, buff, towrite);
+               written += towrite;
+               buff += towrite;
+               length -= towrite;
+       }
+
+       /* return the number of bytes written */
+       return written;
+}
+
+bool membuff_isempty(struct membuff *mb)
+{
+       return mb->head == mb->tail;
+}
+
+int membuff_avail(struct membuff *mb)
+{
+       struct membuff copy;
+       int i, avail;
+       char *data = 0;
+
+       /* make a copy of this buffer's control data */
+       copy = *mb;
+
+       /* now read everything out of the copied buffer */
+       for (i = avail = 0; i < 2; i++)
+               avail += membuff_getraw(&copy, -1, true, &data);
+
+       /* and return how much we read */
+       return avail;
+}
+
+int membuff_size(struct membuff *mb)
+{
+       return mb->end - mb->start;
+}
+
+bool membuff_makecontig(struct membuff *mb)
+{
+       int topsize, botsize;
+
+       debug("makecontig: head=%d, tail=%d, size=%d",
+             (int)(mb->head - mb->start), (int)(mb->tail - mb->start),
+             (int)(mb->end - mb->start));
+
+       /*
+        * first we move anything at the start of the buffer into the correct
+        * place some way along
+        */
+       if (mb->tail > mb->head) {
+               /*
+                * the data is split into two parts, from 0 to ->head and
+                * from ->tail to ->end. We move the stuff from 0 to ->head
+                * up to make space for the other data before it
+                */
+               topsize = mb->end - mb->tail;
+               botsize = mb->head - mb->start;
+
+               /*
+                * must move data at bottom up by 'topsize' bytes - check if
+                * there's room
+                */
+               if (mb->head + topsize >= mb->tail)
+                       return false;
+               memmove(mb->start + topsize, mb->start, botsize);
+               debug(" - memmove(%d, %d, %d)", topsize, 0, botsize);
+
+       /* nothing at the start, so skip that step */
+       } else {
+               topsize = mb->head - mb->tail;
+               botsize = 0;
+       }
+
+       /* now move data at top down to the bottom */
+       memcpy(mb->start, mb->tail, topsize);
+       debug(" - memcpy(%d, %d, %d)", 0, (int)(mb->tail - mb->start), topsize);
+
+       /* adjust pointers */
+       mb->tail = mb->start;
+       mb->head = mb->start + topsize + botsize;
+
+       debug(" - head=%d, tail=%d", (int)(mb->head - mb->start),
+             (int)(mb->tail - mb->start));
+
+       /* all ok */
+       return true;
+}
+
+int membuff_free(struct membuff *mb)
+{
+       return mb->end == mb->start ? 0 :
+                       (mb->end - mb->start) - 1 - membuff_avail(mb);
+}
+
+int membuff_readline(struct membuff *mb, char *str, int maxlen, int minch)
+{
+       int len;  /* number of bytes read (!= string length) */
+       char *s, *end;
+       bool ok = false;
+       char *orig = str;
+
+       end = mb->head >= mb->tail ? mb->head : mb->end;
+       for (len = 0, s = mb->tail; s < end && len < maxlen - 1; str++) {
+               *str = *s++;
+               len++;
+               if (*str == '\n' || *str < minch) {
+                       ok = true;
+                       break;
+               }
+               if (s == end && mb->tail > mb->head) {
+                       s = mb->start;
+                       end = mb->head;
+               }
+       }
+
+       /* couldn't get the whole string */
+       if (!ok) {
+               if (maxlen)
+                       *orig = '\0';
+               return 0;
+       }
+
+       /* terminate the string, update the membuff and return success */
+       *str = '\0';
+       mb->tail = s == mb->end ? mb->start : s;
+
+       return len;
+}
+
+int membuff_extend_by(struct membuff *mb, int by, int max)
+{
+       int oldhead, oldtail;
+       int size, orig;
+       char *ptr;
+
+       /* double the buffer size until it is big enough */
+       assert(by >= 0);
+       for (orig = mb->end - mb->start, size = orig; size < orig + by;)
+               size *= 2;
+       if (max != -1)
+               size = min(size, max);
+       by = size - orig;
+
+       /* if we're already at maximum, give up */
+       if (by <= 0)
+               return -E2BIG;
+
+       oldhead = mb->head - mb->start;
+       oldtail = mb->tail - mb->start;
+       ptr = realloc(mb->start, size);
+       if (!ptr)
+               return -ENOMEM;
+       mb->start = ptr;
+       mb->head = mb->start + oldhead;
+       mb->tail = mb->start + oldtail;
+
+       if (mb->head < mb->tail) {
+               memmove(mb->tail + by, mb->tail, orig - oldtail);
+               mb->tail += by;
+       }
+       mb->end = mb->start + size;
+
+       return 0;
+}
+
+void membuff_init(struct membuff *mb, char *buff, int size)
+{
+       mb->start = buff;
+       mb->end = mb->start + size;
+       membuff_purge(mb);
+}
+
+int membuff_new(struct membuff *mb, int size)
+{
+       mb->start = malloc(size);
+       if (!mb->start)
+               return -ENOMEM;
+
+       membuff_init(mb, mb->start, size);
+       return 0;
+}
+
+void membuff_uninit(struct membuff *mb)
+{
+       mb->end = NULL;
+       mb->start = NULL;
+       membuff_purge(mb);
+}
+
+void membuff_dispose(struct membuff *mb)
+{
+       free(&mb->start);
+       membuff_uninit(mb);
+}
diff --git a/lib/tiny-printf.c b/lib/tiny-printf.c
new file mode 100644 (file)
index 0000000..6766a8f
--- /dev/null
@@ -0,0 +1,122 @@
+/*
+ * Tiny printf version for SPL
+ *
+ * Copied from:
+ * http://www.sparetimelabs.com/printfrevisited/printfrevisited.php
+ *
+ * Copyright (C) 2004,2008  Kustaa Nyholm
+ *
+ * SPDX-License-Identifier:    LGPL-2.1+
+ */
+
+#include <common.h>
+#include <stdarg.h>
+#include <serial.h>
+
+static char *bf;
+static char zs;
+
+static void out(char c)
+{
+       *bf++ = c;
+}
+
+static void out_dgt(char dgt)
+{
+       out(dgt + (dgt < 10 ? '0' : 'a' - 10));
+       zs = 1;
+}
+
+static void div_out(unsigned int *num, unsigned int div)
+{
+       unsigned char dgt = 0;
+
+       while (*num >= div) {
+               *num -= div;
+               dgt++;
+       }
+
+       if (zs || dgt > 0)
+               out_dgt(dgt);
+}
+
+int printf(const char *fmt, ...)
+{
+       va_list va;
+       char ch;
+       char *p;
+       unsigned int num;
+       char buf[12];
+       unsigned int div;
+
+       va_start(va, fmt);
+
+       while ((ch = *(fmt++))) {
+               if (ch != '%') {
+                       putc(ch);
+               } else {
+                       char lz = 0;
+                       char w = 0;
+
+                       ch = *(fmt++);
+                       if (ch == '0') {
+                               ch = *(fmt++);
+                               lz = 1;
+                       }
+
+                       if (ch >= '0' && ch <= '9') {
+                               w = 0;
+                               while (ch >= '0' && ch <= '9') {
+                                       w = (w * 10) + ch - '0';
+                                       ch = *fmt++;
+                               }
+                       }
+                       bf = buf;
+                       p = bf;
+                       zs = 0;
+
+                       switch (ch) {
+                       case 0:
+                               goto abort;
+                       case 'u':
+                       case 'd':
+                               num = va_arg(va, unsigned int);
+                               if (ch == 'd' && (int)num < 0) {
+                                       num = -(int)num;
+                                       out('-');
+                               }
+                               for (div = 1000000000; div; div /= 10)
+                                       div_out(&num, div);
+                               break;
+                       case 'x':
+                               num = va_arg(va, unsigned int);
+                               for (div = 0x10000000; div; div /= 0x10)
+                                       div_out(&num, div);
+                               break;
+                       case 'c':
+                               out((char)(va_arg(va, int)));
+                               break;
+                       case 's':
+                               p = va_arg(va, char*);
+                               break;
+                       case '%':
+                               out('%');
+                       default:
+                               break;
+                       }
+
+                       *bf = 0;
+                       bf = p;
+                       while (*bf++ && w > 0)
+                               w--;
+                       while (w-- > 0)
+                               putc(lz ? '0' : ' ');
+                       while ((ch = *p++))
+                               putc(ch);
+               }
+       }
+
+abort:
+       va_end(va);
+       return 0;
+}
index 4c82837cc41e8e55899d945e8f27d399a0b0be71..dd8380b418c932ca0e0d2e8b80248d213ee047da 100644 (file)
@@ -861,6 +861,42 @@ int sprintf(char *buf, const char *fmt, ...)
        return i;
 }
 
+int printf(const char *fmt, ...)
+{
+       va_list args;
+       uint i;
+       char printbuffer[CONFIG_SYS_PBSIZE];
+
+       va_start(args, fmt);
+
+       /*
+        * For this to work, printbuffer must be larger than
+        * anything we ever want to print.
+        */
+       i = vscnprintf(printbuffer, sizeof(printbuffer), fmt, args);
+       va_end(args);
+
+       /* Print the string */
+       puts(printbuffer);
+       return i;
+}
+
+int vprintf(const char *fmt, va_list args)
+{
+       uint i;
+       char printbuffer[CONFIG_SYS_PBSIZE];
+
+       /*
+        * For this to work, printbuffer must be larger than
+        * anything we ever want to print.
+        */
+       i = vscnprintf(printbuffer, sizeof(printbuffer), fmt, args);
+
+       /* Print the string */
+       puts(printbuffer);
+       return i;
+}
+
 static void panic_finish(void) __attribute__ ((noreturn));
 
 static void panic_finish(void)
index 2926bceacbad812bbda0956dc905318917f9237e..4d5746a7b356d84319b91346c02661f6768e570d 100644 (file)
--- a/net/net.c
+++ b/net/net.c
@@ -83,6 +83,7 @@
 
 #include <common.h>
 #include <command.h>
+#include <console.h>
 #include <environment.h>
 #include <errno.h>
 #include <net.h>
index 8f690eb70c474c96b0d830a0f22bbbbe1fe3dd05..96f414a7afd81d2c2ac25ada5dc539c9ea771fe1 100644 (file)
@@ -117,6 +117,7 @@ MKIMAGEFLAGS_MLO.byteswap = -T omapimage -n byteswap -a $(CONFIG_SPL_TEXT_BASE)
 MLO MLO.byteswap: $(obj)/u-boot-spl.bin
        $(call if_changed,mkimage)
 
+ifeq ($(CONFIG_SYS_SOC),"at91")
 MKIMAGEFLAGS_boot.bin = -T atmelimage
 
 ifeq ($(CONFIG_SPL_GENERATE_ATMEL_PMECC_HEADER),y)
@@ -127,6 +128,12 @@ endif
 
 boot.bin: $(obj)/u-boot-spl.bin
        $(call if_changed,mkimage)
+else
+MKIMAGEFLAGS_boot.bin = -T zynqimage
+
+spl/boot.bin: $(obj)/u-boot-spl-dtb.bin
+       $(call if_changed,mkimage)
+endif
 
 ALL-y  += $(obj)/$(SPL_BIN).bin $(obj)/$(SPL_BIN).cfg
 
@@ -150,6 +157,10 @@ ifeq ($(CONFIG_SYS_SOC),"at91")
 ALL-y  += boot.bin
 endif
 
+ifdef CONFIG_ARCH_ZYNQ
+ALL-y  += $(obj)/boot.bin
+endif
+
 all:   $(ALL-y)
 
 quiet_cmd_cat = CAT     $@
index 39630f68c878faae2e44bb49797c67fab7f9a238..681c6aec71468c4958212e320185a427dc0c0ecf 100644 (file)
@@ -33,5 +33,6 @@ obj-y += syscon.o
 obj-$(CONFIG_DM_USB) += usb.o
 obj-$(CONFIG_DM_PMIC) += pmic.o
 obj-$(CONFIG_DM_REGULATOR) += regulator.o
+obj-$(CONFIG_TIMER) += timer.o
 obj-$(CONFIG_ADC) += adc.o
 endif
index 0e43ab95480485240fece43126d76941b2915f91..91bdda83ab36a2ee6600cf2132379d27f9f06c12 100644 (file)
@@ -6,9 +6,11 @@
 
 #include <common.h>
 #include <command.h>
+#include <console.h>
 #include <dm.h>
 #include <errno.h>
 #include <malloc.h>
+#include <asm/state.h>
 #include <dm/test.h>
 #include <dm/root.h>
 #include <dm/uclass-internal.h>
@@ -74,6 +76,7 @@ static int dm_test_main(const char *test_name)
        struct unit_test *tests = ll_entry_start(struct unit_test, dm_test);
        const int n_ents = ll_entry_count(struct unit_test, dm_test);
        struct unit_test_state *uts = &global_dm_test_state;
+       struct sandbox_state *state = state_get_current();
        uts->priv = &_global_priv_dm_test_state;
        struct unit_test *test;
        int run_count;
@@ -112,7 +115,16 @@ static int dm_test_main(const char *test_name)
                if (test->flags & DM_TESTF_SCAN_FDT)
                        ut_assertok(dm_scan_fdt(gd->fdt_blob, false));
 
+               /*
+                * Silence the console and rely on console reocrding to get
+                * our output.
+                */
+               console_record_reset();
+               if (!state->show_test_output)
+                       gd->flags |= GD_FLG_SILENT;
                test->func(uts);
+               gd->flags &= ~GD_FLG_SILENT;
+               state_set_skip_delays(false);
 
                ut_assertok(dm_test_destroy(uts));
        }
diff --git a/test/dm/timer.c b/test/dm/timer.c
new file mode 100644 (file)
index 0000000..bf964c4
--- /dev/null
@@ -0,0 +1,27 @@
+/*
+ * Copyright (C) 2015 Thomas Chou <thomas@wytron.com.tw>
+ *
+ * SPDX-License-Identifier:    GPL-2.0+
+ */
+
+#include <common.h>
+#include <dm.h>
+#include <timer.h>
+#include <dm/test.h>
+#include <test/ut.h>
+
+DECLARE_GLOBAL_DATA_PTR;
+
+/*
+ * Basic test of the timer uclass.
+ */
+static int dm_test_timer_base(struct unit_test_state *uts)
+{
+       struct udevice *dev;
+
+       ut_assertok(uclass_get_device(UCLASS_TIMER, 0, &dev));
+       ut_asserteq(1000000, timer_get_rate(dev));
+
+       return 0;
+}
+DM_TEST(dm_test_timer_base, DM_TESTF_SCAN_PDATA | DM_TESTF_SCAN_FDT);
index 9939d837a90d3381866e21a5f30dfd88e0153de4..7d6b644a51b53c0a04bec769ab16d08341783bd6 100644 (file)
@@ -5,12 +5,19 @@
  */
 
 #include <common.h>
+#include <console.h>
 #include <dm.h>
 #include <usb.h>
 #include <asm/io.h>
+#include <asm/state.h>
+#include <asm/test.h>
+#include <dm/device-internal.h>
 #include <dm/test.h>
+#include <dm/uclass-internal.h>
 #include <test/ut.h>
 
+DECLARE_GLOBAL_DATA_PTR;
+
 /* Test that sandbox USB works correctly */
 static int dm_test_usb_base(struct unit_test_state *uts)
 {
@@ -35,6 +42,7 @@ static int dm_test_usb_flash(struct unit_test_state *uts)
        block_dev_desc_t *dev_desc;
        char cmp[1024];
 
+       state_set_skip_delays(true);
        ut_assertok(usb_init());
        ut_assertok(uclass_get_device(UCLASS_MASS_STORAGE, 0, &dev));
        ut_assertok(get_device("usb", "0", &dev_desc));
@@ -48,3 +56,236 @@ static int dm_test_usb_flash(struct unit_test_state *uts)
        return 0;
 }
 DM_TEST(dm_test_usb_flash, DM_TESTF_SCAN_PDATA | DM_TESTF_SCAN_FDT);
+
+/* test that we can handle multiple storage devices */
+static int dm_test_usb_multi(struct unit_test_state *uts)
+{
+       struct udevice *dev;
+
+       state_set_skip_delays(true);
+       ut_assertok(usb_init());
+       ut_assertok(uclass_get_device(UCLASS_MASS_STORAGE, 0, &dev));
+       ut_assertok(uclass_get_device(UCLASS_MASS_STORAGE, 1, &dev));
+       ut_assertok(uclass_get_device(UCLASS_MASS_STORAGE, 2, &dev));
+
+       return 0;
+}
+DM_TEST(dm_test_usb_multi, DM_TESTF_SCAN_PDATA | DM_TESTF_SCAN_FDT);
+
+static int count_usb_devices(void)
+{
+       struct udevice *hub;
+       struct uclass *uc;
+       int count = 0;
+       int ret;
+
+       ret = uclass_get(UCLASS_USB_HUB, &uc);
+       if (ret)
+               return ret;
+
+       uclass_foreach_dev(hub, uc) {
+               struct udevice *dev;
+
+               count++;
+               for (device_find_first_child(hub, &dev);
+                    dev;
+                    device_find_next_child(&dev)) {
+                       count++;
+               }
+       }
+
+       return count;
+}
+
+/* test that we can remove an emulated device and it is then not found */
+static int dm_test_usb_remove(struct unit_test_state *uts)
+{
+       struct udevice *dev, *emul;
+
+       /* Scan and check that all devices are present */
+       state_set_skip_delays(true);
+       ut_assertok(usb_init());
+       ut_assertok(uclass_get_device(UCLASS_MASS_STORAGE, 0, &dev));
+       ut_assertok(uclass_get_device(UCLASS_MASS_STORAGE, 1, &dev));
+       ut_assertok(uclass_get_device(UCLASS_MASS_STORAGE, 2, &dev));
+       ut_asserteq(5, count_usb_devices());
+       ut_assertok(usb_stop());
+       ut_asserteq(5, count_usb_devices());
+
+       /* Remove the second emulation device */
+       ut_assertok(uclass_find_device_by_name(UCLASS_USB_EMUL, "flash-stick@1",
+                                              &dev));
+       ut_assertok(device_unbind(dev));
+
+       /* Rescan - only the first and third should be present */
+       ut_assertok(usb_init());
+       ut_assertok(uclass_get_device(UCLASS_MASS_STORAGE, 0, &dev));
+       ut_assertok(usb_emul_find_for_dev(dev, &emul));
+       ut_asserteq_str("flash-stick@0", emul->name);
+       ut_assertok(uclass_get_device(UCLASS_MASS_STORAGE, 1, &dev));
+       ut_assertok(usb_emul_find_for_dev(dev, &emul));
+       ut_asserteq_str("flash-stick@2", emul->name);
+
+       ut_asserteq(-ENODEV, uclass_get_device(UCLASS_MASS_STORAGE, 2, &dev));
+
+       ut_asserteq(4, count_usb_devices());
+       ut_assertok(usb_stop());
+       ut_asserteq(4, count_usb_devices());
+
+       return 0;
+}
+DM_TEST(dm_test_usb_remove, DM_TESTF_SCAN_PDATA | DM_TESTF_SCAN_FDT);
+
+const char usb_tree_base[] =
+"  1  Hub (12 Mb/s, 100mA)\n"
+"  |  sandbox hub 2345\n"
+"  |\n"
+"  |\b+-2  Mass Storage (12 Mb/s, 100mA)\n"
+"  |    sandbox flash flash-stick@0\n"
+"  |  \n"
+"  |\b+-3  Mass Storage (12 Mb/s, 100mA)\n"
+"  |    sandbox flash flash-stick@1\n"
+"  |  \n"
+"  |\b+-4  Mass Storage (12 Mb/s, 100mA)\n"
+"       sandbox flash flash-stick@2\n"
+"     \n";
+
+/* test that the 'usb tree' command output looks correct */
+static int dm_test_usb_tree(struct unit_test_state *uts)
+{
+       char *data;
+       int len;
+
+       state_set_skip_delays(true);
+       ut_assertok(usb_init());
+       console_record_reset_enable();
+       usb_show_tree();
+       len = membuff_getraw(&gd->console_out, -1, true, &data);
+       if (len)
+               data[len] = '\0';
+       ut_asserteq_str(usb_tree_base, data);
+       ut_assertok(usb_stop());
+
+       return 0;
+}
+DM_TEST(dm_test_usb_tree, DM_TESTF_SCAN_PDATA | DM_TESTF_SCAN_FDT);
+
+const char usb_tree_remove[] =
+"  1  Hub (12 Mb/s, 100mA)\n"
+"  |  sandbox hub 2345\n"
+"  |\n"
+"  |\b+-2  Mass Storage (12 Mb/s, 100mA)\n"
+"  |    sandbox flash flash-stick@0\n"
+"  |  \n"
+"  |\b+-3  Mass Storage (12 Mb/s, 100mA)\n"
+"       sandbox flash flash-stick@2\n"
+"     \n";
+
+/*
+ * test that the 'usb tree' command output looks correct when we remove a
+ * device
+ */
+static int dm_test_usb_tree_remove(struct unit_test_state *uts)
+{
+       struct udevice *dev;
+       char *data;
+       int len;
+
+       /* Remove the second emulation device */
+       ut_assertok(uclass_find_device_by_name(UCLASS_USB_EMUL, "flash-stick@1",
+                                              &dev));
+       ut_assertok(device_unbind(dev));
+
+       state_set_skip_delays(true);
+       ut_assertok(usb_init());
+       console_record_reset_enable();
+       usb_show_tree();
+       len = membuff_getraw(&gd->console_out, -1, true, &data);
+       if (len)
+               data[len] = '\0';
+       ut_asserteq_str(usb_tree_remove, data);
+       ut_assertok(usb_stop());
+
+       return 0;
+}
+DM_TEST(dm_test_usb_tree_remove, DM_TESTF_SCAN_PDATA | DM_TESTF_SCAN_FDT);
+
+const char usb_tree_reorder[] =
+"  1  Hub (12 Mb/s, 100mA)\n"
+"  |  sandbox hub 2345\n"
+"  |\n"
+"  |\b+-2  Mass Storage (12 Mb/s, 100mA)\n"
+"  |    sandbox flash flash-stick@0\n"
+"  |  \n"
+"  |\b+-3  Mass Storage (12 Mb/s, 100mA)\n"
+"  |    sandbox flash flash-stick@2\n"
+"  |  \n"
+"  |\b+-4  Mass Storage (12 Mb/s, 100mA)\n"
+"       sandbox flash flash-stick@1\n"
+"     \n";
+
+/*
+ * test that the 'usb tree' command output looks correct when we reorder two
+ * devices.
+ */
+static int dm_test_usb_tree_reorder(struct unit_test_state *uts)
+{
+       struct udevice *dev, *parent;
+       char *data;
+       int len;
+
+       /* Remove the second emulation device */
+       ut_assertok(uclass_find_device_by_name(UCLASS_USB_EMUL, "flash-stick@1",
+                                              &dev));
+       parent = dev->parent;
+
+       /* Reorder the devices in the parent list and uclass list */
+       list_del(&dev->sibling_node);
+       list_add_tail(&dev->sibling_node, &parent->child_head);
+
+       list_del(&dev->uclass_node);
+       list_add_tail(&dev->uclass_node, &dev->uclass->dev_head);
+
+       state_set_skip_delays(true);
+       ut_assertok(usb_init());
+       console_record_reset_enable();
+       usb_show_tree();
+       len = membuff_getraw(&gd->console_out, -1, true, &data);
+       if (len)
+               data[len] = '\0';
+       ut_asserteq_str(usb_tree_reorder, data);
+       ut_assertok(usb_stop());
+
+       return 0;
+}
+DM_TEST(dm_test_usb_tree_reorder, DM_TESTF_SCAN_PDATA | DM_TESTF_SCAN_FDT);
+
+static int dm_test_usb_keyb(struct unit_test_state *uts)
+{
+       struct udevice *dev;
+
+       state_set_skip_delays(true);
+       ut_assertok(usb_init());
+
+       /* Initially there should be no characters */
+       ut_asserteq(0, tstc());
+
+       ut_assertok(uclass_get_device_by_name(UCLASS_USB_EMUL, "keyb",
+                                             &dev));
+
+       /*
+        * Add a string to the USB keyboard buffer - it should appear in
+        * stdin
+        */
+       ut_assertok(sandbox_usb_keyb_add_string(dev, "ab"));
+       ut_asserteq(1, tstc());
+       ut_asserteq('a', getc());
+       ut_asserteq(1, tstc());
+       ut_asserteq('b', getc());
+       ut_asserteq(0, tstc());
+
+       ut_assertok(usb_stop());
+
+       return 0;
+}
+DM_TEST(dm_test_usb_keyb, DM_TESTF_SCAN_PDATA | DM_TESTF_SCAN_FDT);
index 0282de595bbb74ffa3286a58dbdf54061ba90b38..fa0f02d6d94ee179e3f07dae60fabb5babc6c1cb 100644 (file)
--- a/test/ut.c
+++ b/test/ut.c
 #include <test/test.h>
 #include <test/ut.h>
 
+DECLARE_GLOBAL_DATA_PTR;
+
 void ut_fail(struct unit_test_state *uts, const char *fname, int line,
             const char *func, const char *cond)
 {
+       gd->flags &= ~(GD_FLG_SILENT | GD_FLG_RECORD);
        printf("%s:%d, %s(): %s\n", fname, line, func, cond);
        uts->fail_count++;
 }
@@ -22,6 +25,7 @@ void ut_failf(struct unit_test_state *uts, const char *fname, int line,
 {
        va_list args;
 
+       gd->flags &= ~(GD_FLG_SILENT | GD_FLG_RECORD);
        printf("%s:%d, %s(): %s: ", fname, line, func, cond);
        va_start(args, fmt);
        vprintf(fmt, args);
index 9082bda219fc6d93d05637a7fc7959d3681518cf..9cfd80b67095d449812d4341446cbf488b93ca0d 100644 (file)
@@ -98,6 +98,7 @@ dumpimage-mkimage-objs := aisimage.o \
                        lib/sha256.o \
                        common/hash.o \
                        ublimage.o \
+                       zynqimage.o \
                        $(LIBFDT_OBJS) \
                        $(RSA_OBJS-y)
 
index 5f6d91c486559e5982333b9e2b50d46183817f6b..369aba7bcab9d00e6c965354ff05bb579d420809 100644 (file)
@@ -232,11 +232,12 @@ static void *image_create_v0(size_t *imagesz, struct image_tool_params *params,
        main_hdr = image;
 
        /* Fill in the main header */
-       main_hdr->blocksize = payloadsz + sizeof(uint32_t) - headersz;
-       main_hdr->srcaddr   = headersz;
+       main_hdr->blocksize =
+               cpu_to_le32(payloadsz + sizeof(uint32_t) - headersz);
+       main_hdr->srcaddr   = cpu_to_le32(headersz);
        main_hdr->ext       = has_ext;
-       main_hdr->destaddr  = params->addr;
-       main_hdr->execaddr  = params->ep;
+       main_hdr->destaddr  = cpu_to_le32(params->addr);
+       main_hdr->execaddr  = cpu_to_le32(params->ep);
 
        e = image_find_option(IMAGE_CFG_BOOT_FROM);
        if (e)
@@ -246,7 +247,7 @@ static void *image_create_v0(size_t *imagesz, struct image_tool_params *params,
                main_hdr->nandeccmode = e->nandeccmode;
        e = image_find_option(IMAGE_CFG_NAND_PAGESZ);
        if (e)
-               main_hdr->nandpagesize = e->nandpagesz;
+               main_hdr->nandpagesize = cpu_to_le16(e->nandpagesz);
        main_hdr->checksum = image_checksum8(image,
                                             sizeof(struct main_hdr_v0));
 
@@ -255,15 +256,17 @@ static void *image_create_v0(size_t *imagesz, struct image_tool_params *params,
                int cfgi, datai;
 
                ext_hdr = image + sizeof(struct main_hdr_v0);
-               ext_hdr->offset = 0x40;
+               ext_hdr->offset = cpu_to_le32(0x40);
 
                for (cfgi = 0, datai = 0; cfgi < cfgn; cfgi++) {
                        e = &image_cfg[cfgi];
                        if (e->type != IMAGE_CFG_DATA)
                                continue;
 
-                       ext_hdr->rcfg[datai].raddr = e->regdata.raddr;
-                       ext_hdr->rcfg[datai].rdata = e->regdata.rdata;
+                       ext_hdr->rcfg[datai].raddr =
+                               cpu_to_le32(e->regdata.raddr);
+                       ext_hdr->rcfg[datai].rdata =
+                               cpu_to_le32(e->regdata.rdata);
                        datai++;
                }
 
@@ -321,8 +324,9 @@ static size_t image_headersz_v1(struct image_tool_params *params,
                        return 0;
                }
 
-               headersz += s.st_size +
-                       binarye->binary.nargs * sizeof(unsigned int);
+               headersz += sizeof(struct opt_hdr_v1) +
+                       s.st_size +
+                       (binarye->binary.nargs + 2) * sizeof(uint32_t);
                if (hasext)
                        *hasext = 1;
        }
@@ -376,12 +380,13 @@ static void *image_create_v1(size_t *imagesz, struct image_tool_params *params,
        cur += sizeof(struct main_hdr_v1);
 
        /* Fill the main header */
-       main_hdr->blocksize    = payloadsz - headersz + sizeof(uint32_t);
-       main_hdr->headersz_lsb = headersz & 0xFFFF;
+       main_hdr->blocksize    =
+               cpu_to_le32(payloadsz - headersz + sizeof(uint32_t));
+       main_hdr->headersz_lsb = cpu_to_le16(headersz & 0xFFFF);
        main_hdr->headersz_msb = (headersz & 0xFFFF0000) >> 16;
-       main_hdr->destaddr     = params->addr;
-       main_hdr->execaddr     = params->ep;
-       main_hdr->srcaddr      = headersz;
+       main_hdr->destaddr     = cpu_to_le32(params->addr);
+       main_hdr->execaddr     = cpu_to_le32(params->ep);
+       main_hdr->srcaddr      = cpu_to_le32(headersz);
        main_hdr->ext          = hasext;
        main_hdr->version      = 1;
        e = image_find_option(IMAGE_CFG_BOOT_FROM);
@@ -397,7 +402,7 @@ static void *image_create_v1(size_t *imagesz, struct image_tool_params *params,
        binarye = image_find_option(IMAGE_CFG_BINARY);
        if (binarye) {
                struct opt_hdr_v1 *hdr = cur;
-               unsigned int *args;
+               uint32_t *args;
                size_t binhdrsz;
                struct stat s;
                int argi;
@@ -415,7 +420,7 @@ static void *image_create_v1(size_t *imagesz, struct image_tool_params *params,
                fstat(fileno(bin), &s);
 
                binhdrsz = sizeof(struct opt_hdr_v1) +
-                       (binarye->binary.nargs + 1) * sizeof(unsigned int) +
+                       (binarye->binary.nargs + 2) * sizeof(uint32_t) +
                        s.st_size;
 
                /*
@@ -424,18 +429,18 @@ static void *image_create_v1(size_t *imagesz, struct image_tool_params *params,
                 * next-header byte and 3-byte alignment at the end.
                 */
                binhdrsz = ALIGN_SUP(binhdrsz, 4) + 4;
-               hdr->headersz_lsb = binhdrsz & 0xFFFF;
+               hdr->headersz_lsb = cpu_to_le16(binhdrsz & 0xFFFF);
                hdr->headersz_msb = (binhdrsz & 0xFFFF0000) >> 16;
 
                cur += sizeof(struct opt_hdr_v1);
 
                args = cur;
-               *args = binarye->binary.nargs;
+               *args = cpu_to_le32(binarye->binary.nargs);
                args++;
                for (argi = 0; argi < binarye->binary.nargs; argi++)
-                       args[argi] = binarye->binary.args[argi];
+                       args[argi] = cpu_to_le32(binarye->binary.args[argi]);
 
-               cur += (binarye->binary.nargs + 1) * sizeof(unsigned int);
+               cur += (binarye->binary.nargs + 1) * sizeof(uint32_t);
 
                ret = fread(cur, s.st_size, 1, bin);
                if (ret != 1) {
@@ -720,7 +725,8 @@ static void kwbimage_set_header(void *ptr, struct stat *sbuf, int ifd,
        free(image_cfg);
 
        /* Build and add image checksum header */
-       checksum = image_checksum32((uint32_t *)ptr, sbuf->st_size);
+       checksum =
+               cpu_to_le32(image_checksum32((uint32_t *)ptr, sbuf->st_size));
        size = write(ifd, &checksum, sizeof(uint32_t));
        if (size != sizeof(uint32_t)) {
                fprintf(stderr, "Error:%s - Checksum write %d bytes %s\n",
@@ -810,7 +816,15 @@ static int kwbimage_generate(struct image_tool_params *params,
        tparams->header_size = alloc_len;
        tparams->hdr = hdr;
 
-       return 0;
+       /*
+        * The resulting image needs to be 4-byte aligned. At least
+        * the Marvell hdrparser tool complains if its unaligned.
+        * By returning 1 here in this function, called via
+        * tparams->vrec_header() in mkimage.c, mkimage will
+        * automatically pad the the resulting image to a 4-byte
+        * size if necessary.
+        */
+       return 1;
 }
 
 /*
index 9d2585c0e727238689520cf92747d8da5bfa017c..e6e3d1d4f9addbaa8932b83e58665df54a1b7162 100644 (file)
@@ -9,6 +9,7 @@
 #ifndef _KWBIMAGE_H_
 #define _KWBIMAGE_H_
 
+#include <compiler.h>
 #include <stdint.h>
 
 #define KWBIMAGE_MAX_CONFIG    ((0x1dc - 0x20)/sizeof(struct reg_config))
@@ -115,7 +116,7 @@ struct opt_hdr_v1 {
 #define OPT_HDR_V1_REGISTER_TYPE 0x3
 
 #define KWBHEADER_V1_SIZE(hdr) \
-       (((hdr)->headersz_msb << 16) | (hdr)->headersz_lsb)
+       (((hdr)->headersz_msb << 16) | le16_to_cpu((hdr)->headersz_lsb))
 
 enum kwbimage_cmd {
        CMD_INVALID,
diff --git a/tools/zynqimage.c b/tools/zynqimage.c
new file mode 100644 (file)
index 0000000..25f558d
--- /dev/null
@@ -0,0 +1,257 @@
+/*
+ * Copyright (C) 2015 Nathan Rossi <nathan@nathanrossi.com>
+ *
+ * SPDX-License-Identifier:    GPL-2.0+
+ *
+ * The following Boot Header format/structures and values are defined in the
+ * following documents:
+ *   * Xilinx Zynq-7000 Technical Reference Manual (Section 6.3)
+ *   * Xilinx Zynq-7000 Software Developers Guide (Appendix A.7 and A.8)
+ *
+ * Expected Header Size = 0x8C0
+ * Forced as 'little' endian, 32-bit words
+ *
+ *  0x  0 - Interrupt Table (8 words)
+ *  ...     (Default value = 0xeafffffe)
+ *  0x 1f
+ *  0x 20 - Width Detection
+ *         * DEFAULT_WIDTHDETECTION    0xaa995566
+ *  0x 24 - Image Identifier
+ *         * DEFAULT_IMAGEIDENTIFIER   0x584c4e58
+ *  0x 28 - Encryption
+ *         * 0x00000000 - None
+ *         * 0xa5c3c5a3 - eFuse
+ *         * 0x3a5c3c5a - bbRam
+ *  0x 2C - User Field
+ *  0x 30 - Image Offset
+ *  0x 34 - Image Size
+ *  0x 38 - Reserved (0x00000000) (according to spec)
+ *          * FSBL defines this field for Image Destination Address.
+ *  0x 3C - Image Load
+ *  0x 40 - Image Stored Size
+ *  0x 44 - Reserved (0x00000000) (according to spec)
+ *          * FSBL defines this field for QSPI configuration Data.
+ *  0x 48 - Checksum
+ *  0x 4c - Unused (21 words)
+ *  ...
+ *  0x 9c
+ *  0x a0 - Register Initialization, 256 Address and Data word pairs
+ *         * List is terminated with an address of 0xffffffff or
+ *  ...    * at the max number of entries
+ *  0x89c
+ *  0x8a0 - Unused (8 words)
+ *  ...
+ *  0x8bf
+ *  0x8c0 - Data/Image starts here or above
+ */
+
+#include "imagetool.h"
+#include "mkimage.h"
+#include <image.h>
+
+#define HEADER_INTERRUPT_DEFAULT (cpu_to_le32(0xeafffffe))
+#define HEADER_REGINIT_NULL (cpu_to_le32(0xffffffff))
+#define HEADER_WIDTHDETECTION (cpu_to_le32(0xaa995566))
+#define HEADER_IMAGEIDENTIFIER (cpu_to_le32(0x584c4e58))
+
+enum {
+       ENCRYPTION_EFUSE = 0xa5c3c5a3,
+       ENCRYPTION_BBRAM = 0x3a5c3c5a,
+       ENCRYPTION_NONE = 0x0,
+};
+
+struct zynq_reginit {
+       uint32_t address;
+       uint32_t data;
+};
+
+#define HEADER_INTERRUPT_VECTORS 8
+#define HEADER_REGINITS 256
+
+struct zynq_header {
+       uint32_t interrupt_vectors[HEADER_INTERRUPT_VECTORS]; /* 0x0 */
+       uint32_t width_detection; /* 0x20 */
+       uint32_t image_identifier; /* 0x24 */
+       uint32_t encryption; /* 0x28 */
+       uint32_t user_field; /* 0x2c */
+       uint32_t image_offset; /* 0x30 */
+       uint32_t image_size; /* 0x34 */
+       uint32_t __reserved1; /* 0x38 */
+       uint32_t image_load; /* 0x3c */
+       uint32_t image_stored_size; /* 0x40 */
+       uint32_t __reserved2; /* 0x44 */
+       uint32_t checksum; /* 0x48 */
+       uint32_t __reserved3[21]; /* 0x4c */
+       struct zynq_reginit register_init[HEADER_REGINITS]; /* 0xa0 */
+       uint32_t __reserved4[8]; /* 0x8a0 */
+};
+
+static struct zynq_header zynqimage_header;
+
+static uint32_t zynqimage_checksum(struct zynq_header *ptr)
+{
+       uint32_t checksum = 0;
+
+       if (ptr == NULL)
+               return 0;
+
+       checksum += le32_to_cpu(ptr->width_detection);
+       checksum += le32_to_cpu(ptr->image_identifier);
+       checksum += le32_to_cpu(ptr->encryption);
+       checksum += le32_to_cpu(ptr->user_field);
+       checksum += le32_to_cpu(ptr->image_offset);
+       checksum += le32_to_cpu(ptr->image_size);
+       checksum += le32_to_cpu(ptr->__reserved1);
+       checksum += le32_to_cpu(ptr->image_load);
+       checksum += le32_to_cpu(ptr->image_stored_size);
+       checksum += le32_to_cpu(ptr->__reserved2);
+       checksum = ~checksum;
+
+       return cpu_to_le32(checksum);
+}
+
+static void zynqimage_default_header(struct zynq_header *ptr)
+{
+       int i;
+
+       if (ptr == NULL)
+               return;
+
+       ptr->width_detection = HEADER_WIDTHDETECTION;
+       ptr->image_identifier = HEADER_IMAGEIDENTIFIER;
+       ptr->encryption = cpu_to_le32(ENCRYPTION_NONE);
+
+       /* Setup not-supported/constant/reserved fields */
+       for (i = 0; i < HEADER_INTERRUPT_VECTORS; i++)
+               ptr->interrupt_vectors[i] = HEADER_INTERRUPT_DEFAULT;
+
+       for (i = 0; i < HEADER_REGINITS; i++) {
+               ptr->register_init[i].address = HEADER_REGINIT_NULL;
+               ptr->register_init[i].data = HEADER_REGINIT_NULL;
+       }
+
+       /*
+        * Certain reserved fields are required to be set to 0, ensure they are
+        * set as such.
+        */
+       ptr->__reserved1 = 0x0;
+       ptr->__reserved2 = 0x0;
+}
+
+/* mkimage glue functions */
+static int zynqimage_verify_header(unsigned char *ptr, int image_size,
+               struct image_tool_params *params)
+{
+       struct zynq_header *zynqhdr = (struct zynq_header *)ptr;
+
+       if (image_size < sizeof(struct zynq_header))
+               return -1;
+
+       if (zynqhdr->width_detection != HEADER_WIDTHDETECTION)
+               return -1;
+       if (zynqhdr->image_identifier != HEADER_IMAGEIDENTIFIER)
+               return -1;
+
+       if (zynqimage_checksum(zynqhdr) != zynqhdr->checksum)
+               return -1;
+
+       return 0;
+}
+
+static void zynqimage_print_header(const void *ptr)
+{
+       struct zynq_header *zynqhdr = (struct zynq_header *)ptr;
+       int i;
+
+       printf("Image Type   : Xilinx Zynq Boot Image support\n");
+       printf("Image Offset : 0x%08x\n", le32_to_cpu(zynqhdr->image_offset));
+       printf("Image Size   : %lu bytes (%lu bytes packed)\n",
+              (unsigned long)le32_to_cpu(zynqhdr->image_size),
+              (unsigned long)le32_to_cpu(zynqhdr->image_stored_size));
+       printf("Image Load   : 0x%08x\n", le32_to_cpu(zynqhdr->image_load));
+       printf("User Field   : 0x%08x\n", le32_to_cpu(zynqhdr->user_field));
+       printf("Checksum     : 0x%08x\n", le32_to_cpu(zynqhdr->checksum));
+
+       for (i = 0; i < HEADER_INTERRUPT_VECTORS; i++) {
+               if (zynqhdr->interrupt_vectors[i] == HEADER_INTERRUPT_DEFAULT)
+                       continue;
+
+               printf("Modified Interrupt Vector Address [%d]: 0x%08x\n", i,
+                      le32_to_cpu(zynqhdr->interrupt_vectors[i]));
+       }
+
+       for (i = 0; i < HEADER_REGINITS; i++) {
+               if (zynqhdr->register_init[i].address == HEADER_REGINIT_NULL)
+                       break;
+
+               if (i == 0)
+                       printf("Custom Register Initialization:\n");
+
+               printf("    @ 0x%08x -> 0x%08x\n",
+                      le32_to_cpu(zynqhdr->register_init[i].address),
+                      le32_to_cpu(zynqhdr->register_init[i].data));
+       }
+}
+
+static int zynqimage_check_params(struct image_tool_params *params)
+{
+       if (!params)
+               return 0;
+
+       if (params->addr != 0x0) {
+               fprintf(stderr, "Error: Load Address cannot be specified.\n");
+               return -1;
+       }
+
+       /*
+        * If the entry point is specified ensure it is 64 byte aligned.
+        */
+       if (params->eflag && (params->ep % 64 != 0)) {
+               fprintf(stderr,
+                       "Error: Entry Point must be aligned to a 64-byte boundary.\n");
+               return -1;
+       }
+
+       return !((params->lflag || params->dflag) ||
+                       (params->dflag && params->eflag));
+}
+
+static int zynqimage_check_image_types(uint8_t type)
+{
+       if (type == IH_TYPE_ZYNQIMAGE)
+               return EXIT_SUCCESS;
+       return EXIT_FAILURE;
+}
+
+static void zynqimage_set_header(void *ptr, struct stat *sbuf, int ifd,
+               struct image_tool_params *params)
+{
+       struct zynq_header *zynqhdr = (struct zynq_header *)ptr;
+       zynqimage_default_header(zynqhdr);
+
+       /* place image directly after header */
+       zynqhdr->image_offset =
+               cpu_to_le32((uint32_t)sizeof(struct zynq_header));
+       zynqhdr->image_size = cpu_to_le32((uint32_t)sbuf->st_size);
+       zynqhdr->image_stored_size = zynqhdr->image_size;
+       zynqhdr->image_load = 0x0;
+       if (params->eflag)
+               zynqhdr->image_load = cpu_to_le32((uint32_t)params->ep);
+
+       zynqhdr->checksum = zynqimage_checksum(zynqhdr);
+}
+
+U_BOOT_IMAGE_TYPE(
+       zynqimage,
+       "Xilinx Zynq Boot Image support",
+       sizeof(struct zynq_header),
+       (void *)&zynqimage_header,
+       zynqimage_check_params,
+       zynqimage_verify_header,
+       zynqimage_print_header,
+       zynqimage_set_header,
+       NULL,
+       zynqimage_check_image_types,
+       NULL,
+       NULL
+);