From: Denis Vlasenko Date: Sat, 26 Jul 2008 14:02:24 +0000 (-0000) Subject: fix example of vcsa creation X-Git-Tag: 1_12_0~96 X-Git-Url: https://git.librecmc.org/?a=commitdiff_plain;h=160e6fa53ae434a5dc3e82f69cb2f0264b86f6ee;p=oweals%2Fbusybox.git fix example of vcsa creation --- diff --git a/examples/bootfloppy/mkdevs.sh b/examples/bootfloppy/mkdevs.sh index 03a1a8550..8e9512f82 100755 --- a/examples/bootfloppy/mkdevs.sh +++ b/examples/bootfloppy/mkdevs.sh @@ -57,6 +57,6 @@ ln -s vcs0 vcs # virtual console screen w/ attributes devs for i in `seq 0 9`; do - mknod vcsa$i b 7 $i + mknod vcsa$i b 7 $((128 + i)) done ln -s vcsa0 vcsa