Merge branch 'master' of git://git.denx.de/u-boot-ppc4xx
authorWolfgang Denk <wd@denx.de>
Wed, 3 Feb 2010 19:22:10 +0000 (20:22 +0100)
committerWolfgang Denk <wd@denx.de>
Wed, 3 Feb 2010 19:22:10 +0000 (20:22 +0100)
71 files changed:
MAINTAINERS
MAKEALL
Makefile
README
board/atmel/at91rm9200dk/at91rm9200dk.c
board/atmel/at91rm9200ek/at91rm9200ek.c
board/cmc_pu2/cmc_pu2.c
board/csb637/csb637.c
board/eukrea/cpuat91/cpuat91.c
board/exbitgen/Makefile [deleted file]
board/exbitgen/config.mk [deleted file]
board/exbitgen/exbitgen.c [deleted file]
board/exbitgen/exbitgen.h [deleted file]
board/exbitgen/flash.c [deleted file]
board/exbitgen/init.S [deleted file]
board/freescale/mpc8360emds/mpc8360emds.c
board/freescale/mpc8569mds/config.mk
board/freescale/mpc8569mds/tlb.c
board/kb9202/kb9202.c
board/m501sk/m501sk.c
board/mp2usb/mp2usb.c
common/cmd_ximg.c
common/env_nand.c
common/lcd.c
cpu/arm920t/at91rm9200/bcm5221.c
cpu/arm920t/at91rm9200/dm9161.c
cpu/arm926ejs/start.S
cpu/mpc5xxx/cpu_init.c
drivers/mtd/cfi_mtd.c
drivers/mtd/nand/mxc_nand.c
drivers/net/Makefile
drivers/net/at91_emac.c [new file with mode: 0644]
drivers/net/cs8900.c
drivers/net/davinci_emac.c
drivers/net/ep93xx_eth.c [new file with mode: 0644]
drivers/net/ep93xx_eth.h [new file with mode: 0644]
drivers/net/macb.c
drivers/net/smc911x.c
drivers/net/tsec.c
drivers/qe/uec.c
drivers/qe/uec.h
drivers/qe/uec_phy.c
drivers/video/Makefile
drivers/video/amba.c [new file with mode: 0644]
examples/standalone/smc91111_eeprom.c
include/amba_clcd.h [new file with mode: 0644]
include/asm-arm/arch-at91/at91_emac.h [new file with mode: 0644]
include/asm-arm/arch-davinci/emac_defs.h
include/common.h
include/configs/MPC8323ERDB.h
include/configs/MPC832XEMDS.h
include/configs/MPC8360EMDS.h
include/configs/MPC8360ERDK.h
include/configs/MPC8568MDS.h
include/configs/MPC8569MDS.h
include/configs/at91rm9200dk.h
include/configs/at91rm9200ek.h
include/configs/cmc_pu2.h
include/configs/cpuat91.h
include/configs/csb637.h
include/configs/kb9202.h
include/configs/kmeter1.h
include/configs/m501sk.h
include/configs/mp2usb.h
include/fsl_nfc.h
include/netdev.h
include/nomadik.h
include/tsec.h
nand_spl/board/freescale/mpc8569mds/Makefile [new file with mode: 0644]
nand_spl/board/freescale/mpc8569mds/nand_boot.c [new file with mode: 0644]
nand_spl/nand_boot_fsl_nfc.c

index e8ba4bc134d68f54baa8152d5562736bd37aeb44..fe63a9f8d9f1d054745cef8d2f3b94c246949640 100644 (file)
@@ -134,8 +134,6 @@ Wolfgang Denk <wd@denx.de>
        PCIPPC2         MPC750
        PCIPPC6         MPC750
 
-       EXBITGEN        PPC405GP
-
 Jon Diekema <jon.diekema@smiths-aerospace.com>
 
        sbc8260         MPC8260
diff --git a/MAKEALL b/MAKEALL
index 15e93cf273d3c38042d30da089f372aad408d953..684db67aa4bc8c9d8babb3d21de917896e32d27f 100755 (executable)
--- a/MAKEALL
+++ b/MAKEALL
@@ -213,7 +213,6 @@ LIST_4xx="          \
        DU440           \
        ebony           \
        ERIC            \
-       EXBITGEN        \
        fx12mm          \
        G2000           \
        gdppc440etx     \
@@ -404,6 +403,7 @@ LIST_85xx="         \
        MPC8568MDS      \
        MPC8569MDS      \
        MPC8569MDS_ATM  \
+       MPC8569MDS_NAND \
        MPC8572DS       \
        MPC8572DS_36BIT \
        P2020DS         \
index 69b963f2b87dd7b5ef1813b1f0f36974a076d923..e4431f7fb6a348b6bf1ce43af96c6da521b7c9a8 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -1336,9 +1336,6 @@ ebony_config:     unconfig
 ERIC_config:   unconfig
        @$(MKCONFIG) $(@:_config=) ppc ppc4xx eric
 
-EXBITGEN_config:       unconfig
-       @$(MKCONFIG) $(@:_config=) ppc ppc4xx exbitgen
-
 fx12mm_flash_config: unconfig
        @mkdir -p $(obj)include $(obj)board/xilinx/ppc405-generic
        @mkdir -p $(obj)include $(obj)board/avnet/fx12mm
@@ -2490,6 +2487,7 @@ MPC8568MDS_config:        unconfig
        @$(MKCONFIG) $(@:_config=) ppc mpc85xx mpc8568mds freescale
 
 MPC8569MDS_ATM_config \
+MPC8569MDS_NAND_config \
 MPC8569MDS_config:     unconfig
        @$(MKCONFIG) -t $(@:_config=) MPC8569MDS ppc mpc85xx mpc8569mds freescale
 
diff --git a/README b/README
index 263bb05076b7025d0ae00a1450d46a4f028301a4..1158e24de776b4f1ad01c987c6200855a1d77424 100644 (file)
--- a/README
+++ b/README
@@ -822,6 +822,16 @@ The following options need to be configured:
 
 - NETWORK Support (other):
 
+               CONFIG_DRIVER_AT91EMAC
+               Support for AT91RM9200 EMAC.
+
+                       CONFIG_RMII
+                       Define this to use reduced MII inteface
+
+                       CONFIG_DRIVER_AT91EMAC_QUIET
+                       If this defined, the driver is quiet.
+                       The driver doen't show link status messages.
+
                CONFIG_DRIVER_LAN91C96
                Support for SMSC's LAN91C96 chips.
 
index c761dd7467dbf46f2ee23d09cf5fc29488cde4d0..49b5fe3203db5a70d8c3312fc06f2637a0bf1f19 100644 (file)
  */
 
 #include <common.h>
+#include <exports.h>
+#include <netdev.h>
 #include <asm/arch/AT91RM9200.h>
+#include <asm/io.h>
+
+#if defined(CONFIG_DRIVER_ETHER)
 #include <at91rm9200_net.h>
 #include <dm9161.h>
+#endif
 
 DECLARE_GLOBAL_DATA_PTR;
 
@@ -95,6 +101,15 @@ void at91rm9200_GetPhyInterface(AT91PS_PhyOps p_phyops)
 #endif
 #endif /* CONFIG_DRIVER_ETHER */
 
+#ifdef CONFIG_DRIVER_AT91EMAC
+int board_eth_init(bd_t *bis)
+{
+       int rc = 0;
+       rc = at91emac_register(bis, 0);
+       return rc;
+}
+#endif
+
 /*
  * Disk On Chip (NAND) Millenium initialization.
  * The NAND lives in the CS2* space
index ea684e949d080085c8456e14fd4f6c8f4d61e7e9..570a09a0741b2ed7c1cd2d4e88c1f7bb663979d7 100644 (file)
  */
 
 #include <common.h>
+#include <exports.h>
+#include <netdev.h>
 #include <asm/arch/AT91RM9200.h>
+#include <asm/io.h>
+#if defined(CONFIG_DRIVER_ETHER)
 #include <at91rm9200_net.h>
 #include <dm9161.h>
+#endif
 
 DECLARE_GLOBAL_DATA_PTR;
 
@@ -84,3 +89,12 @@ void at91rm9200_GetPhyInterface(AT91PS_PhyOps p_phyops)
        p_phyops->AutoNegotiate = dm9161_AutoNegotiate;
 }
 #endif
+
+#ifdef CONFIG_DRIVER_AT91EMAC
+int board_eth_init(bd_t *bis)
+{
+       int rc = 0;
+       rc = at91emac_register(bis, 0);
+       return rc;
+}
+#endif
index 3ad756de1c87ab27c890927a20d59947d3fa9b22..0ac851c97f3e9270861733660722cfc86905991b 100644 (file)
 #include <common.h>
 #include <asm/mach-types.h>
 #include <asm/arch/AT91RM9200.h>
+#include <asm/io.h>
+#include <netdev.h>
+#if defined(CONFIG_DRIVER_ETHER)
 #include <at91rm9200_net.h>
 #include <dm9161.h>
+#endif
 
 DECLARE_GLOBAL_DATA_PTR;
 
@@ -177,3 +181,12 @@ void at91rm9200_GetPhyInterface(AT91PS_PhyOps p_phyops)
 
 #endif
 #endif /* CONFIG_DRIVER_ETHER */
+
+#ifdef CONFIG_DRIVER_AT91EMAC
+int board_eth_init(bd_t *bis)
+{
+       int rc = 0;
+       rc = at91emac_register(bis, 0);
+       return rc;
+}
+#endif
index fbc3c87c5da05e323632ffc43c3f945491d60968..d7fdcc4f7398684ccdd2e9257d9a7a6c7e87eebe 100644 (file)
 
 #include <common.h>
 #include <asm/arch/AT91RM9200.h>
+#include <netdev.h>
+#include <asm/io.h>
+#if defined(CONFIG_DRIVER_ETHER)
 #include <at91rm9200_net.h>
 #include <bcm5221.h>
+#endif
 
 DECLARE_GLOBAL_DATA_PTR;
 
@@ -79,3 +83,12 @@ void at91rm9200_GetPhyInterface(AT91PS_PhyOps p_phyops)
 
 #endif
 #endif /* CONFIG_DRIVER_ETHER */
+
+#ifdef CONFIG_DRIVER_AT91EMAC
+int board_eth_init(bd_t *bis)
+{
+       int rc = 0;
+       rc = at91emac_register(bis, 0);
+       return rc;
+}
+#endif
index 1a700b649cce4089b001e07e253317268ff222e5..0017962d4200740d420e6cc86f70f5e785aab449 100644 (file)
  */
 
 #include <common.h>
+#include <netdev.h>
 #include <asm/arch/AT91RM9200.h>
+#include <asm/io.h>
+
+#if defined(CONFIG_DRIVER_ETHER)
 #include <at91rm9200_net.h>
 #include <ks8721.h>
+#endif
 
 DECLARE_GLOBAL_DATA_PTR;
 
@@ -79,3 +84,12 @@ void at91rm9200_GetPhyInterface(AT91PS_PhyOps p_phyops)
 
 #endif /* CONFIG_CMD_NET */
 #endif /* CONFIG_DRIVER_ETHER */
+#ifdef CONFIG_DRIVER_AT91EMAC
+
+int board_eth_init(bd_t *bis)
+{
+       int rc = 0;
+       rc = at91emac_register(bis, 0);
+       return rc;
+}
+#endif
diff --git a/board/exbitgen/Makefile b/board/exbitgen/Makefile
deleted file mode 100644 (file)
index 4f752a8..0000000
+++ /dev/null
@@ -1,52 +0,0 @@
-#
-# (C) Copyright 2000-2006
-# Wolfgang Denk, DENX Software Engineering, wd@denx.de.
-#
-# See file CREDITS for list of people who contributed to this
-# project.
-#
-# This program is free software; you can redistribute it and/or
-# modify it under the terms of the GNU General Public License as
-# published by the Free Software Foundation; either version 2 of
-# the License, or (at your option) any later version.
-#
-# This program is distributed in the hope that it will be useful,
-# but WITHOUT ANY WARRANTY; without even the implied warranty of
-# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
-# GNU General Public License for more details.
-#
-# You should have received a copy of the GNU General Public License
-# along with this program; if not, write to the Free Software
-# Foundation, Inc., 59 Temple Place, Suite 330, Boston,
-# MA 02111-1307 USA
-#
-
-include $(TOPDIR)/config.mk
-
-LIB    = $(obj)lib$(BOARD).a
-
-COBJS  = $(BOARD).o flash.o
-
-SOBJS  = init.o
-
-SRCS   := $(SOBJS:.o=.S) $(COBJS:.o=.c)
-OBJS   := $(addprefix $(obj),$(COBJS))
-SOBJS  := $(addprefix $(obj),$(SOBJS))
-
-$(LIB):        $(OBJS) $(SOBJS)
-       $(AR) $(ARFLAGS) $@ $^
-
-clean:
-       rm -f $(SOBJS) $(OBJS)
-
-distclean:     clean
-       rm -f $(LIB) core *.bak $(obj).depend
-
-#########################################################################
-
-# defines $(obj).depend target
-include $(SRCTREE)/rules.mk
-
-sinclude $(obj).depend
-
-#########################################################################
diff --git a/board/exbitgen/config.mk b/board/exbitgen/config.mk
deleted file mode 100644 (file)
index 42ea0c6..0000000
+++ /dev/null
@@ -1,33 +0,0 @@
-#
-# (C) Copyright 2000
-# Wolfgang Denk, DENX Software Engineering, wd@denx.de.
-#
-# See file CREDITS for list of people who contributed to this
-# project.
-#
-# This program is free software; you can redistribute it and/or
-# modify it under the terms of the GNU General Public License as
-# published by the Free Software Foundation; either version 2 of
-# the License, or (at your option) any later version.
-#
-# This program is distributed in the hope that it will be useful,
-# but WITHOUT ANY WARRANTY; without even the implied warranty of
-# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
-# GNU General Public License for more details.
-#
-# You should have received a copy of the GNU General Public License
-# along with this program; if not, write to the Free Software
-# Foundation, Inc., 59 Temple Place, Suite 330, Boston,
-# MA 02111-1307 USA
-#
-
-#
-# ExbitGen board
-#
-
-LDFLAGS += $(LINKER_UNDEFS)
-
-TEXT_BASE := 0xFFF80000
-#TEXT_BASE := 0x00100000
-
-PLATFORM_RELFLAGS := $(PLATFORM_RELFLAGS)
diff --git a/board/exbitgen/exbitgen.c b/board/exbitgen/exbitgen.c
deleted file mode 100644 (file)
index 50d9748..0000000
+++ /dev/null
@@ -1,126 +0,0 @@
-#include <common.h>
-#include <asm/u-boot.h>
-#include <asm/processor.h>
-#include "exbitgen.h"
-
-void sdram_init(void);
-
-/* ************************************************************************ */
-int board_early_init_f (void)
-/* ------------------------------------------------------------------------ --
- * Purpose     :
- * Remarks     :
- * Restrictions:
- * See also    :
- * Example     :
- * ************************************************************************ */
-{
-       unsigned long i;
-
-   /*-------------------------------------------------------------------------+
-   | Interrupt controller setup for the Walnut board.
-   | Note: IRQ 0-15  405GP internally generated; active high; level sensitive
-   |       IRQ 16    405GP internally generated; active low; level sensitive
-   |       IRQ 17-24 RESERVED
-   |       IRQ 25 (EXT IRQ 0) FPGA; active high; level sensitive
-   |       IRQ 26 (EXT IRQ 1) SMI; active high; level sensitive
-   |       IRQ 27 (EXT IRQ 2) Not Used
-   |       IRQ 28 (EXT IRQ 3) PCI SLOT 3; active low; level sensitive
-   |       IRQ 29 (EXT IRQ 4) PCI SLOT 2; active low; level sensitive
-   |       IRQ 30 (EXT IRQ 5) PCI SLOT 1; active low; level sensitive
-   |       IRQ 31 (EXT IRQ 6) PCI SLOT 0; active low; level sensitive
-   | Note for Walnut board:
-   |       An interrupt taken for the FPGA (IRQ 25) indicates that either
-   |       the Mouse, Keyboard, IRDA, or External Expansion caused the
-   |       interrupt. The FPGA must be read to determine which device
-   |       caused the interrupt. The default setting of the FPGA clears
-   |
-   +-------------------------------------------------------------------------*/
-
-       mtdcr (UIC0SR, 0xFFFFFFFF);     /* clear all ints */
-       mtdcr (UIC0ER, 0x00000000);     /* disable all ints */
-       mtdcr (UIC0CR, 0x00000020);     /* set all but FPGA SMI to be non-critical */
-       mtdcr (UIC0PR, 0xFFFFFF90);     /* set int polarities */
-       mtdcr (UIC0TR, 0x10000000);     /* set int trigger levels */
-       mtdcr (UIC0VCR, 0x00000001);    /* set vect base=0,INT0 highest priority */
-       mtdcr (UIC0SR, 0xFFFFFFFF);     /* clear all ints */
-
-       /* Perform reset of PHY connected to PPC via register in CPLD */
-       out8 (PHY_CTRL_ADDR, 0x2e);     /* activate nRESET,FDX,F100,ANEN, enable output */
-       for (i = 0; i < 10000000; i++) {
-               ;
-       }
-       out8 (PHY_CTRL_ADDR, 0x2f);     /* deactivate nRESET */
-
-       return 0;
-}
-
-
-/* ************************************************************************ */
-int checkboard (void)
-/* ------------------------------------------------------------------------ --
- * Purpose     :
- * Remarks     :
- * Restrictions:
- * See also    :
- * Example     :
- * ************************************************************************ */
-{
-       printf ("Exbit H/W id: %d\n", in8 (HW_ID_ADDR));
-       return (0);
-}
-
-/* ************************************************************************ */
-phys_size_t initdram (int board_type)
-/* ------------------------------------------------------------------------ --
- * Purpose     : Determines size of mounted DRAM.
- * Remarks     : Size is determined by reading SDRAM configuration registers as
- *               set up by sdram_init.
- * Restrictions:
- * See also    :
- * Example     :
- * ************************************************************************ */
-{
-       ulong tot_size;
-       ulong bank_size;
-       ulong tmp;
-
-       /*
-        * ToDo: Move the asm init routine sdram_init() to this C file,
-        * or even better use some common ppc4xx code available
-        * in cpu/ppc4xx
-        */
-       sdram_init();
-
-       tot_size = 0;
-
-       mtdcr (SDRAM0_CFGADDR, SDRAM0_B0CR);
-       tmp = mfdcr (SDRAM0_CFGDATA);
-       if (tmp & 0x00000001) {
-               bank_size = 0x00400000 << ((tmp >> 17) & 0x7);
-               tot_size += bank_size;
-       }
-
-       mtdcr (SDRAM0_CFGADDR, SDRAM0_B1CR);
-       tmp = mfdcr (SDRAM0_CFGDATA);
-       if (tmp & 0x00000001) {
-               bank_size = 0x00400000 << ((tmp >> 17) & 0x7);
-               tot_size += bank_size;
-       }
-
-       mtdcr (SDRAM0_CFGADDR, SDRAM0_B2CR);
-       tmp = mfdcr (SDRAM0_CFGDATA);
-       if (tmp & 0x00000001) {
-               bank_size = 0x00400000 << ((tmp >> 17) & 0x7);
-               tot_size += bank_size;
-       }
-
-       mtdcr (SDRAM0_CFGADDR, SDRAM0_B3CR);
-       tmp = mfdcr (SDRAM0_CFGDATA);
-       if (tmp & 0x00000001) {
-               bank_size = 0x00400000 << ((tmp >> 17) & 0x7);
-               tot_size += bank_size;
-       }
-
-       return tot_size;
-}
diff --git a/board/exbitgen/exbitgen.h b/board/exbitgen/exbitgen.h
deleted file mode 100644 (file)
index dceaf6d..0000000
+++ /dev/null
@@ -1,52 +0,0 @@
-/*
- * (C) Copyright 2003
- * Wolfgang Denk, DENX Software Engineering, wd@denx.de.
- *
- * See file CREDITS for list of people who contributed to this
- * project.
- *
- * This program is free software; you can redistribute it and/or
- * modify it under the terms of the GNU General Public License as
- * published by the Free Software Foundation; either version 2 of
- * the License, or (at your option) any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
- * MA 02111-1307 USA
- */
-
-#define GPIO_CPU_LED           GPIO_3
-
-
-#define CPLD_BASE              0x10000000              /* t.b.m. */
-#define DEBUG_LEDS_ADDR                CPLD_BASE + 0x01
-#define HW_ID_ADDR             CPLD_BASE + 0x02
-#define DIP_SWITCH_ADDR                CPLD_BASE + 0x04
-#define PHY_CTRL_ADDR          CPLD_BASE + 0x05
-#define SPI_OUT_ADDR           CPLD_BASE + 0x07
-#define SPI_IN_ADDR            CPLD_BASE + 0x08
-#define MDIO_OUT_ADDR          CPLD_BASE + 0x09
-#define MDIO_IN_ADDR           CPLD_BASE + 0x0A
-#define MISC_OUT_ADDR          CPLD_BASE + 0x0B
-
-/* Addresses used on I2C bus */
-#define LM75_CHIP_ADDR         0x9C
-#define LM75_CPU_ADDR          0x9E
-#define SDRAM_SPD_ADDR         0xA0
-
-#define SDRAM_SPD_WRITE_ADDRESS        (SDRAM_SPD_ADDR)
-#define SDRAM_SPD_READ_ADDRESS (SDRAM_SPD_ADDR+1)
-
-#ifndef FALSE
-#define FALSE 0
-#endif
-
-#ifndef TRUE
-#define TRUE 1
-#endif
diff --git a/board/exbitgen/flash.c b/board/exbitgen/flash.c
deleted file mode 100644 (file)
index cd45cb6..0000000
+++ /dev/null
@@ -1,597 +0,0 @@
-/*
- * (C) Copyright 2003
- * Wolfgang Denk, DENX Software Engineering, wd@denx.de.
- *
- * See file CREDITS for list of people who contributed to this
- * project.
- *
- * This program is free software; you can redistribute it and/or
- * modify it under the terms of the GNU General Public License as
- * published by the Free Software Foundation; either version 2 of
- * the License, or (at your option) any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
- * MA 02111-1307 USA
- */
-
-/*
- * Modified 4/5/2001
- * Wait for completion of each sector erase command issued
- * 4/5/2001
- * Chris Hallinan - DS4.COM, Inc. - clh@net1plus.com
- */
-
-#include <common.h>
-#include <asm/u-boot.h>
-#include <asm/processor.h>
-#include <ppc4xx.h>
-
-flash_info_t   flash_info[CONFIG_SYS_MAX_FLASH_BANKS]; /* info for FLASH chips */
-
-/*-----------------------------------------------------------------------
- * Functions
- */
-static ulong flash_get_size (vu_long *addr, flash_info_t *info);
-static int write_word (flash_info_t *info, ulong dest, ulong data);
-
-#ifdef MEIGSBOARD_ONBOARD_FLASH /* onboard = 2MB */
-#  ifdef CONFIG_EXBITGEN
-#     define FLASH_WORD_SIZE unsigned long
-#  endif
-#else /* Meigsboard socket flash = 512KB */
-#  ifdef CONFIG_EXBITGEN
-#    define FLASH_WORD_SIZE unsigned char
-#  endif
-#endif
-
-#ifdef CONFIG_EXBITGEN
-#define ADDR0           0x5555
-#define ADDR1           0x2aaa
-#define FLASH_WORD_SIZE unsigned char
-#endif
-
-/*-----------------------------------------------------------------------
- */
-
-unsigned long flash_init (void)
-{
-       unsigned long bank_size;
-       unsigned long tot_size;
-       unsigned long bank_addr;
-       int i;
-
-       /* Init: no FLASHes known */
-       for (i = 0; i < CONFIG_SYS_MAX_FLASH_BANKS; ++i) {
-               flash_info[i].flash_id = FLASH_UNKNOWN;
-               flash_info[i].size = 0;
-       }
-
-       tot_size = 0;
-
-       /* Detect Boot Flash */
-       bank_addr = CONFIG_SYS_FLASH0_BASE;
-       bank_size = flash_get_size((vu_long *)bank_addr, &flash_info[0]);
-       if (bank_size > 0) {
-               (void)flash_protect(FLAG_PROTECT_CLEAR,
-                       bank_addr,
-                       bank_addr + bank_size - 1,
-                       &flash_info[0]);
-       }
-       if (flash_info[0].flash_id == FLASH_UNKNOWN) {
-               printf ("## Unknown FLASH on Boot Flash Bank\n");
-       }
-       flash_info[0].size = bank_size;
-       tot_size += bank_size;
-
-       /* Detect Application Flash */
-       bank_addr = CONFIG_SYS_FLASH1_BASE;
-       for (i = 1; i < CONFIG_SYS_MAX_FLASH_BANKS; ++i) {
-               bank_size = flash_get_size((vu_long *)bank_addr, &flash_info[i]);
-               if (flash_info[i].flash_id == FLASH_UNKNOWN) {
-                       break;
-               }
-               if (bank_size > 0) {
-                       (void)flash_protect(FLAG_PROTECT_CLEAR,
-                               bank_addr,
-                               bank_addr + bank_size - 1,
-                               &flash_info[i]);
-               }
-               flash_info[i].size = bank_size;
-               tot_size += bank_size;
-               bank_addr += bank_size;
-       }
-       if (flash_info[1].flash_id == FLASH_UNKNOWN) {
-               printf ("## Unknown FLASH on Application Flash Bank\n");
-       }
-
-       /* Protect monitor and environment sectors */
-#if CONFIG_SYS_MONITOR_BASE >= CONFIG_SYS_FLASH0_BASE
-       flash_protect(FLAG_PROTECT_SET,
-               CONFIG_SYS_MONITOR_BASE,
-               CONFIG_SYS_MONITOR_BASE + monitor_flash_len - 1,
-               &flash_info[0]);
-#if 0xfffffffc >= CONFIG_SYS_FLASH0_BASE
-#if 0xfffffffc <= CONFIG_SYS_FLASH0_BASE + CONFIG_SYS_FLASH0_SIZE - 1
-       flash_protect(FLAG_PROTECT_SET,
-               0xfffffffc, 0xffffffff,
-               &flash_info[0]);
-#endif
-#endif
-#endif
-
-#if defined(CONFIG_ENV_IS_IN_FLASH) && defined(CONFIG_ENV_ADDR)
-       flash_protect(FLAG_PROTECT_SET,
-               CONFIG_ENV_ADDR,
-               CONFIG_ENV_ADDR + CONFIG_ENV_SIZE - 1,
-               &flash_info[0]);
-#endif
-
-       return tot_size;
-}
-
-/*-----------------------------------------------------------------------
- */
-void flash_print_info  (flash_info_t *info)
-{
-       int i;
-
-       if (info->flash_id == FLASH_UNKNOWN) {
-               printf ("missing or unknown FLASH type\n");
-               return;
-       }
-
-       switch (info->flash_id & FLASH_VENDMASK) {
-       case FLASH_MAN_AMD:     printf ("AMD ");                break;
-       case FLASH_MAN_FUJ:     printf ("FUJITSU ");            break;
-       case FLASH_MAN_SST:     printf ("SST ");                break;
-       default:                printf ("Unknown Vendor ");     break;
-       }
-
-       switch (info->flash_id & FLASH_TYPEMASK) {
-       case FLASH_AM040:       printf ("AM29F040 (512 Kbit, uniform sector size)\n");
-                               break;
-       case FLASH_AM400B:      printf ("AM29LV400B (4 Mbit, bottom boot sect)\n");
-                               break;
-       case FLASH_AM400T:      printf ("AM29LV400T (4 Mbit, top boot sector)\n");
-                               break;
-       case FLASH_AM800B:      printf ("AM29LV800B (8 Mbit, bottom boot sect)\n");
-                               break;
-       case FLASH_AM800T:      printf ("AM29LV800T (8 Mbit, top boot sector)\n");
-                               break;
-       case FLASH_AM160B:      printf ("AM29LV160B (16 Mbit, bottom boot sect)\n");
-                               break;
-       case FLASH_AM160T:      printf ("AM29LV160T (16 Mbit, top boot sector)\n");
-                               break;
-       case FLASH_AM320B:      printf ("AM29LV320B (32 Mbit, bottom boot sect)\n");
-                               break;
-       case FLASH_AM320T:      printf ("AM29LV320T (32 Mbit, top boot sector)\n");
-                               break;
-       case FLASH_AMDLV033C:   printf ("AM29LV033C (32 Mbit, uniform sector size)\n");
-                               break;
-       case FLASH_AMDLV065D:   printf ("AM29LV065D (64 Mbit, uniform sector size)\n");
-                               break;
-       case FLASH_SST800A:     printf ("SST39LF/VF800 (8 Mbit, uniform sector size)\n");
-                               break;
-       case FLASH_SST160A:     printf ("SST39LF/VF160 (16 Mbit, uniform sector size)\n");
-                               break;
-       case FLASH_SST040:      printf ("SST39LF/VF040 (4 Mbit, uniform sector size)\n");
-                               break;
-       default:                printf ("Unknown Chip Type\n");
-                               break;
-       }
-
-       printf ("  Size: %ld KB in %d Sectors\n",
-               info->size >> 10, info->sector_count);
-
-       printf ("  Sector Start Addresses:");
-       for (i=0; i<info->sector_count; ++i) {
-               if ((i % 5) == 0)
-                       printf ("\n   ");
-               printf (" %08lX%s",
-                       info->start[i],
-                       info->protect[i] ? " (RO)" : "     "
-               );
-       }
-       printf ("\n");
-}
-
-/*-----------------------------------------------------------------------
- */
-
-
-/*-----------------------------------------------------------------------
- */
-
-/*
- * The following code cannot be run from FLASH!
- */
-static ulong flash_get_size (vu_long *addr, flash_info_t *info)
-{
-       short i;
-       FLASH_WORD_SIZE value;
-       ulong base = (ulong)addr;
-       volatile FLASH_WORD_SIZE *addr2 = (FLASH_WORD_SIZE *)addr;
-
-       /* Write auto select command: read Manufacturer ID */
-       addr2[ADDR0] = (FLASH_WORD_SIZE)0x00AA00AA;
-       addr2[ADDR1] = (FLASH_WORD_SIZE)0x00550055;
-       addr2[ADDR0] = (FLASH_WORD_SIZE)0x00900090;
-
-       value = addr2[0];
-
-       switch (value) {
-       case (FLASH_WORD_SIZE)AMD_MANUFACT:
-               info->flash_id = FLASH_MAN_AMD;
-               break;
-       case (FLASH_WORD_SIZE)FUJ_MANUFACT:
-               info->flash_id = FLASH_MAN_FUJ;
-               break;
-       case (FLASH_WORD_SIZE)SST_MANUFACT:
-               info->flash_id = FLASH_MAN_SST;
-               break;
-       default:
-               info->flash_id = FLASH_UNKNOWN;
-               info->sector_count = 0;
-               info->size = 0;
-               return (0);                     /* no or unknown flash  */
-       }
-
-       value = addr2[1];                       /* device ID            */
-
-       switch (value) {
-       case (FLASH_WORD_SIZE)AMD_ID_F040B:
-               info->flash_id += FLASH_AM040;
-               info->sector_count = 8;
-               info->size = 0x0080000; /* => 512 ko */
-               break;
-       case (FLASH_WORD_SIZE)AMD_ID_LV400T:
-               info->flash_id += FLASH_AM400T;
-               info->sector_count = 11;
-               info->size = 0x00080000;
-               break;                          /* => 0.5 MB            */
-
-       case (FLASH_WORD_SIZE)AMD_ID_LV400B:
-               info->flash_id += FLASH_AM400B;
-               info->sector_count = 11;
-               info->size = 0x00080000;
-               break;                          /* => 0.5 MB            */
-
-       case (FLASH_WORD_SIZE)AMD_ID_LV800T:
-               info->flash_id += FLASH_AM800T;
-               info->sector_count = 19;
-               info->size = 0x00100000;
-               break;                          /* => 1 MB              */
-
-       case (FLASH_WORD_SIZE)AMD_ID_LV800B:
-               info->flash_id += FLASH_AM800B;
-               info->sector_count = 19;
-               info->size = 0x00100000;
-               break;                          /* => 1 MB              */
-
-       case (FLASH_WORD_SIZE)AMD_ID_LV160T:
-               info->flash_id += FLASH_AM160T;
-               info->sector_count = 35;
-               info->size = 0x00200000;
-               break;                          /* => 2 MB              */
-
-       case (FLASH_WORD_SIZE)AMD_ID_LV160B:
-               info->flash_id += FLASH_AM160B;
-               info->sector_count = 35;
-               info->size = 0x00200000;
-               break;                          /* => 2 MB              */
-
-       case (FLASH_WORD_SIZE)AMD_ID_LV033C:
-               info->flash_id += FLASH_AMDLV033C;
-               info->sector_count = 64;
-               info->size = 0x00400000;
-               break;                          /* => 4 MB              */
-
-       case (FLASH_WORD_SIZE)AMD_ID_LV065D:
-               info->flash_id += FLASH_AMDLV065D;
-               info->sector_count = 128;
-               info->size = 0x00800000;
-               break;                          /* => 8 MB              */
-
-       case (FLASH_WORD_SIZE)AMD_ID_LV320T:
-               info->flash_id += FLASH_AM320T;
-               info->sector_count = 67;
-               info->size = 0x00400000;
-               break;                          /* => 4 MB              */
-
-       case (FLASH_WORD_SIZE)AMD_ID_LV320B:
-               info->flash_id += FLASH_AM320B;
-               info->sector_count = 67;
-               info->size = 0x00400000;
-               break;                          /* => 4 MB              */
-
-       case (FLASH_WORD_SIZE)SST_ID_xF800A:
-               info->flash_id += FLASH_SST800A;
-               info->sector_count = 16;
-               info->size = 0x00100000;
-               break;                          /* => 1 MB              */
-
-       case (FLASH_WORD_SIZE)SST_ID_xF160A:
-               info->flash_id += FLASH_SST160A;
-               info->sector_count = 32;
-               info->size = 0x00200000;
-               break;                          /* => 2 MB              */
-       case (FLASH_WORD_SIZE)SST_ID_xF040:
-               info->flash_id += FLASH_SST040;
-               info->sector_count = 128;
-               info->size = 0x00080000;
-               break;                          /* => 512KB             */
-
-       default:
-               info->flash_id = FLASH_UNKNOWN;
-               return (0);                     /* => no or unknown flash */
-
-       }
-
-       /* set up sector start address table */
-       if (((info->flash_id & FLASH_VENDMASK) == FLASH_MAN_SST) ||
-               (info->flash_id  == FLASH_AM040) ||
-               (info->flash_id == FLASH_AMDLV033C) ||
-               (info->flash_id == FLASH_AMDLV065D)) {
-               ulong sectsize = info->size / info->sector_count;
-               for (i = 0; i < info->sector_count; i++)
-                       info->start[i] = base + (i * sectsize);
-       } else {
-           if (info->flash_id & FLASH_BTYPE) {
-               /* set sector offsets for bottom boot block type        */
-               info->start[0] = base + 0x00000000;
-               info->start[1] = base + 0x00004000;
-               info->start[2] = base + 0x00006000;
-               info->start[3] = base + 0x00008000;
-               for (i = 4; i < info->sector_count; i++) {
-                       info->start[i] = base + (i * 0x00010000) - 0x00030000;
-               }
-           } else {
-               /* set sector offsets for top boot block type           */
-               i = info->sector_count - 1;
-               info->start[i--] = base + info->size - 0x00004000;
-               info->start[i--] = base + info->size - 0x00006000;
-               info->start[i--] = base + info->size - 0x00008000;
-               for (; i >= 0; i--) {
-                       info->start[i] = base + i * 0x00010000;
-               }
-           }
-       }
-
-       /* check for protected sectors */
-       for (i = 0; i < info->sector_count; i++) {
-               /* read sector protection at sector address, (A7 .. A0) = 0x02 */
-               /* D0 = 1 if protected */
-
-               addr2 = (volatile FLASH_WORD_SIZE *)(info->start[i]);
-               if ((info->flash_id & FLASH_VENDMASK) == FLASH_MAN_SST)
-                       info->protect[i] = 0;
-               else
-                       info->protect[i] = addr2[2] & 1;
-       }
-
-       /* switch to the read mode */
-       if (info->flash_id != FLASH_UNKNOWN) {
-               addr2 = (FLASH_WORD_SIZE *)info->start[0];
-               *addr2 = (FLASH_WORD_SIZE)0x00F000F0;   /* reset bank */
-       }
-
-       return (info->size);
-}
-
-/*-----------------------------------------------------------------------
- */
-
-int flash_erase (flash_info_t *info, int s_first, int s_last)
-{
-       volatile FLASH_WORD_SIZE *addr = (FLASH_WORD_SIZE *)(info->start[0]);
-       volatile FLASH_WORD_SIZE *addr2;
-       int flag, prot, sect;
-       ulong start, now, last;
-
-       if ((s_first < 0) || (s_first > s_last)) {
-               if (info->flash_id == FLASH_UNKNOWN) {
-                       printf ("- missing\n");
-               } else {
-                       printf ("- no sectors to erase\n");
-               }
-               return 1;
-       }
-
-       if (info->flash_id == FLASH_UNKNOWN) {
-               printf ("Can't erase unknown flash type - aborted\n");
-               return 1;
-       }
-
-       prot = 0;
-       for (sect=s_first; sect<=s_last; ++sect) {
-               if (info->protect[sect]) {
-                       prot++;
-               }
-       }
-
-       if (prot) {
-               printf ("- Warning: %d protected sectors will not be erased!\n",
-                       prot);
-       } else {
-               printf ("\n");
-       }
-
-       start = get_timer (0);
-       last  = start;
-       /* Start erase on unprotected sectors */
-       for (sect = s_first; sect <= s_last; sect++) {
-               if (info->protect[sect] == 0) { /* not protected */
-                       addr2 = (FLASH_WORD_SIZE *)(info->start[sect]);
-
-                       /* Disable interrupts which might cause a timeout here */
-                       flag = disable_interrupts();
-
-                       addr[ADDR0] = (FLASH_WORD_SIZE)0x00AA00AA;
-                       addr[ADDR1] = (FLASH_WORD_SIZE)0x00550055;
-                       addr[ADDR0] = (FLASH_WORD_SIZE)0x00800080;
-                       addr[ADDR0] = (FLASH_WORD_SIZE)0x00AA00AA;
-                       addr[ADDR1] = (FLASH_WORD_SIZE)0x00550055;
-                       addr2[0] = (FLASH_WORD_SIZE)0x00300030;
-
-                       /* re-enable interrupts if necessary */
-                       if (flag)
-                               enable_interrupts();
-
-                       /* wait at least 80us - let's wait 1 ms */
-                       udelay (1000);
-
-                       while ((addr2[0] & 0x00800080) !=
-                               (FLASH_WORD_SIZE) 0x00800080) {
-                               if ((now=get_timer(start)) >
-                                          CONFIG_SYS_FLASH_ERASE_TOUT) {
-                                       printf ("Timeout\n");
-                                       addr[0] = (FLASH_WORD_SIZE)0x00F000F0;
-                                       return 1;
-                               }
-
-                               /* show that we're waiting */
-                               if ((now - last) > 1000) {  /* every second  */
-                                       putc ('.');
-                                       last = now;
-                               }
-                       }
-
-                       addr[0] = (FLASH_WORD_SIZE)0x00F000F0;
-               }
-       }
-
-       printf (" done\n");
-
-       return 0;
-}
-
-/*-----------------------------------------------------------------------
- * Copy memory to flash, returns:
- * 0 - OK
- * 1 - write timeout
- * 2 - Flash not erased
- */
-
-int write_buff (flash_info_t *info, uchar *src, ulong addr, ulong cnt)
-{
-       ulong cp, wp, data;
-       int i, l, rc;
-
-       wp = (addr & ~3);       /* get lower word aligned address */
-
-       /*
-        * handle unaligned start bytes
-        */
-       if ((l = addr - wp) != 0) {
-               data = 0;
-               for (i=0, cp=wp; i<l; ++i, ++cp) {
-                       data = (data << 8) | (*(uchar *)cp);
-               }
-               for (; i<4 && cnt>0; ++i) {
-                       data = (data << 8) | *src++;
-                       --cnt;
-                       ++cp;
-               }
-               for (; cnt==0 && i<4; ++i, ++cp) {
-                       data = (data << 8) | (*(uchar *)cp);
-               }
-
-               if ((rc = write_word(info, wp, data)) != 0) {
-                       return (rc);
-               }
-               wp += 4;
-       }
-
-       /*
-        * handle word aligned part
-        */
-       while (cnt >= 4) {
-               data = 0;
-               for (i=0; i<4; ++i) {
-                       data = (data << 8) | *src++;
-               }
-               if ((rc = write_word(info, wp, data)) != 0) {
-                       return (rc);
-               }
-               wp  += 4;
-               cnt -= 4;
-       }
-
-       if (cnt == 0) {
-               return (0);
-       }
-
-       /*
-        * handle unaligned tail bytes
-        */
-       data = 0;
-       for (i=0, cp=wp; i<4 && cnt>0; ++i, ++cp) {
-               data = (data << 8) | *src++;
-               --cnt;
-       }
-       for (; i<4; ++i, ++cp) {
-               data = (data << 8) | (*(uchar *)cp);
-       }
-
-       return (write_word(info, wp, data));
-}
-
-/*-----------------------------------------------------------------------
- * Write a word to Flash, returns:
- * 0 - OK
- * 1 - write timeout
- * 2 - Flash not erased
- */
-static int write_word (flash_info_t *info, ulong dest, ulong data)
-{
-       volatile FLASH_WORD_SIZE *addr2 = (FLASH_WORD_SIZE *)(info->start[0]);
-       volatile FLASH_WORD_SIZE *dest2 = (FLASH_WORD_SIZE *)dest;
-       volatile FLASH_WORD_SIZE *data2 = (FLASH_WORD_SIZE *)&data;
-       ulong start;
-       int flag;
-       int i;
-
-       /* Check if Flash is (sufficiently) erased */
-       if ((*((volatile ulong *)dest) & data) != data) {
-               printf("dest = %08lx, *dest = %08lx, data = %08lx\n",
-                       dest, *(volatile ulong *)dest, data);
-               return 2;
-       }
-
-       for (i=0; i < 4/sizeof(FLASH_WORD_SIZE); i++) {
-               /* Disable interrupts which might cause a timeout here */
-               flag = disable_interrupts();
-
-               addr2[ADDR0] = (FLASH_WORD_SIZE)0x00AA00AA;
-               addr2[ADDR1] = (FLASH_WORD_SIZE)0x00550055;
-               addr2[ADDR0] = (FLASH_WORD_SIZE)0x00A000A0;
-               dest2[i] = data2[i];
-
-               /* re-enable interrupts if necessary */
-               if (flag)
-                       enable_interrupts();
-
-               /* data polling for D7 */
-               start = get_timer (0);
-               while ((dest2[i] & 0x00800080) != (data2[i] & 0x00800080)) {
-                       if (get_timer(start) > CONFIG_SYS_FLASH_WRITE_TOUT) {
-                               addr2[0] = (FLASH_WORD_SIZE)0x00F000F0;
-                               return (1);
-                       }
-               }
-       }
-
-       addr2[0] = (FLASH_WORD_SIZE)0x00F000F0;
-
-       return (0);
-}
-
-/*-----------------------------------------------------------------------
- */
diff --git a/board/exbitgen/init.S b/board/exbitgen/init.S
deleted file mode 100644 (file)
index 721aaac..0000000
+++ /dev/null
@@ -1,1011 +0,0 @@
-/*----------------------------------------------------------------------+
- *       This source code is dual-licensed.  You may use it under the terms of
- *       the GNU General Public License version 2, or under the license below.
- *
- *       This source code has been made available to you by IBM on an AS-IS
- *       basis.  Anyone receiving this source is licensed under IBM
- *       copyrights to use it in any way he or she deems fit, including
- *       copying it, modifying it, compiling it, and redistributing it either
- *       with or without modifications.  No license under IBM patents or
- *       patent applications is to be implied by the copyright license.
- *
- *       Any user of this software should understand that IBM cannot provide
- *       technical support for this software and will not be responsible for
- *       any consequences resulting from the use of this software.
- *
- *       Any person who transfers this source code or any derivative work
- *       must include the IBM copyright notice, this paragraph, and the
- *       preceding two paragraphs in the transferred software.
- *
- *       COPYRIGHT   I B M   CORPORATION 1995
- *       LICENSED MATERIAL  -  PROGRAM PROPERTY OF I B M
- *-----------------------------------------------------------------------
- */
-
-#include <config.h>
-#include <ppc4xx.h>
-#include "config.h"
-
-#define _LINUX_CONFIG_H 1      /* avoid reading Linux autoconf.h file  */
-#define FPGA_BRDC       0xF0300004
-
-#include <ppc_asm.tmpl>
-#include <ppc_defs.h>
-
-#include <asm/cache.h>
-#include <asm/mmu.h>
-
-#include "exbitgen.h"
-
-/* IIC declarations (This is an extract from 405gp_i2c.h, which also contains some */
-/* c-code declarations and consequently can't be included here). */
-/* (Possibly to be solved somehow else). */
-/*--------------------------------------------------------------------- */
-#define           I2C_REGISTERS_BASE_ADDRESS 0xEF600500
-#define    IIC_MDBUF   (I2C_REGISTERS_BASE_ADDRESS+IICMDBUF)
-#define    IIC_SDBUF   (I2C_REGISTERS_BASE_ADDRESS+IICSDBUF)
-#define    IIC_LMADR   (I2C_REGISTERS_BASE_ADDRESS+IICLMADR)
-#define    IIC_HMADR   (I2C_REGISTERS_BASE_ADDRESS+IICHMADR)
-#define    IIC_CNTL    (I2C_REGISTERS_BASE_ADDRESS+IICCNTL)
-#define    IIC_MDCNTL  (I2C_REGISTERS_BASE_ADDRESS+IICMDCNTL)
-#define    IIC_STS     (I2C_REGISTERS_BASE_ADDRESS+IICSTS)
-#define    IIC_EXTSTS  (I2C_REGISTERS_BASE_ADDRESS+IICEXTSTS)
-#define    IIC_LSADR   (I2C_REGISTERS_BASE_ADDRESS+IICLSADR)
-#define    IIC_HSADR   (I2C_REGISTERS_BASE_ADDRESS+IICHSADR)
-#define    IIC_CLKDIV  (I2C_REGISTERS_BASE_ADDRESS+IIC0_CLKDIV)
-#define    IIC_INTRMSK (I2C_REGISTERS_BASE_ADDRESS+IICINTRMSK)
-#define    IIC_XFRCNT  (I2C_REGISTERS_BASE_ADDRESS+IICXFRCNT)
-#define    IIC_XTCNTLSS        (I2C_REGISTERS_BASE_ADDRESS+IICXTCNTLSS)
-#define    IIC_DIRECTCNTL (I2C_REGISTERS_BASE_ADDRESS+IICDIRECTCNTL)
-
-/* MDCNTL Register Bit definition */
-#define    IIC_MDCNTL_HSCL 0x01
-#define    IIC_MDCNTL_EUBS 0x02
-#define    IIC_MDCNTL_FMDB 0x40
-#define    IIC_MDCNTL_FSDB 0x80
-
-/* CNTL Register Bit definition */
-#define    IIC_CNTL_PT     0x01
-#define    IIC_CNTL_READ   0x02
-#define    IIC_CNTL_CHT    0x04
-
-/* STS Register Bit definition */
-#define    IIC_STS_PT     0X01
-#define    IIC_STS_ERR    0X04
-#define    IIC_STS_MDBS    0X20
-
-/* EXTSTS Register Bit definition */
-#define    IIC_EXTSTS_XFRA 0X01
-#define    IIC_EXTSTS_ICT  0X02
-#define    IIC_EXTSTS_LA   0X04
-
-/* LED codes used for inditing progress and errors during read of DIMM SPD.  */
-/*--------------------------------------------------------------------- */
-#define LED_SDRAM_CODE_1  0xef
-#define LED_SDRAM_CODE_2  0xee
-#define LED_SDRAM_CODE_3  0xed
-#define LED_SDRAM_CODE_4  0xec
-#define LED_SDRAM_CODE_5  0xeb
-#define LED_SDRAM_CODE_6  0xea
-#define LED_SDRAM_CODE_7  0xe9
-#define LED_SDRAM_CODE_8  0xe8
-#define LED_SDRAM_CODE_9  0xe7
-#define LED_SDRAM_CODE_10 0xe6
-#define LED_SDRAM_CODE_11 0xe5
-#define LED_SDRAM_CODE_12 0xe4
-#define LED_SDRAM_CODE_13 0xe3
-#define LED_SDRAM_CODE_14 0xe2
-#define LED_SDRAM_CODE_15 0xe1
-#define LED_SDRAM_CODE_16 0xe0
-
-
-#define TIMEBASE_10PS (1000000000 / CONFIG_SYS_CLK_FREQ) * 100
-
-#define FLASH_8bit_AP   0x9B015480
-#define FLASH_8bit_CR   0xFFF18000 /* 1MB(min), 8bit, R/W */
-
-#define FLASH_32bit_AP  0x9B015480
-#define FLASH_32bit_CR  0xFFE3C000 /* 2MB, 32bit, R/W */
-
-
-#define WDCR_EBC(reg,val) addi    r4,0,reg;\
-       mtdcr   EBC0_CFGADDR,r4;\
-       addis   r4,0,val@h;\
-       ori     r4,r4,val@l;\
-       mtdcr   EBC0_CFGDATA,r4
-
-/*---------------------------------------------------------------------
- * Function:     ext_bus_cntlr_init
- * Description:  Initializes the External Bus Controller for the external
- *             peripherals. IMPORTANT: For pass1 this code must run from
- *             cache since you can not reliably change a peripheral banks
- *             timing register (pbxap) while running code from that bank.
- *             For ex., since we are running from ROM on bank 0, we can NOT
- *             execute the code that modifies bank 0 timings from ROM, so
- *             we run it from cache.
- *     Bank 0 - Boot flash
- *     Bank 1-4 - application flash
- *     Bank 5 - CPLD
- *     Bank 6 - not used
- *     Bank 7 - Heathrow chip
- *---------------------------------------------------------------------
- */
-       .globl  ext_bus_cntlr_init
-ext_bus_cntlr_init:
-       mflr    r4                      /* save link register */
-       bl      ..getAddr
-..getAddr:
-       mflr    r3                      /* get address of ..getAddr */
-       mtlr    r4                      /* restore link register */
-       addi    r4,0,14                 /* set ctr to 10; used to prefetch */
-       mtctr   r4                      /* 10 cache lines to fit this function */
-                                       /* in cache (gives us 8x10=80 instrctns) */
-..ebcloop:
-       icbt    r0,r3                   /* prefetch cache line for addr in r3 */
-       addi    r3,r3,32                /* move to next cache line */
-       bdnz    ..ebcloop               /* continue for 10 cache lines */
-
-       mflr    r31                     /* save link register */
-
-       /*-----------------------------------------------------------
-        * Delay to ensure all accesses to ROM are complete before changing
-        * bank 0 timings. 200usec should be enough.
-        *   200,000,000 (cycles/sec) X .000200 (sec) = 0x9C40 cycles
-        *-----------------------------------------------------------
-        */
-
-       addis   r3,0,0x0
-       ori     r3,r3,0xA000          /* ensure 200usec have passed since reset */
-       mtctr   r3
-..spinlp:
-       bdnz    ..spinlp                /* spin loop */
-
-       /*---------------------------------------------------------------
-        * Memory Bank 0 (Boot Flash) initialization
-        *---------------------------------------------------------------
-        */
-       WDCR_EBC(PB1AP, FLASH_32bit_AP)
-       WDCR_EBC(PB0CR, 0xffe38000)
-/*pnc  WDCR_EBC(PB0CR, FLASH_32bit_CR) */
-
-       /*---------------------------------------------------------------
-        * Memory Bank 5 (CPLD) initialization
-        *---------------------------------------------------------------
-        */
-       WDCR_EBC(PB5AP, 0x01010040)
-/*jsa recommendation:          WDCR_EBC(PB5AP, 0x00010040) */
-       WDCR_EBC(PB5CR, 0x10038000)
-
-       /*--------------------------------------------------------------- */
-       /* Memory Bank 6 (not used) initialization */
-       /*--------------------------------------------------------------- */
-       WDCR_EBC(PB6CR, 0x00000000)
-
-       /* Read HW ID to determine whether old H2 board or new generic CPU board */
-       addis   r3, 0,  HW_ID_ADDR@h
-       ori     r3, r3, HW_ID_ADDR@l
-       lbz     r3,0x0000(r3)
-       cmpi    0, r3, 1          /* if (HW_ID==1) */
-       beq     setup_h2evalboard /* then jump */
-       cmpi    0, r3, 2          /* if (HW_ID==2) */
-       beq     setup_genieboard  /* then jump */
-       cmpi    0, r3, 3          /* if (HW_ID==3) */
-       beq     setup_genieboard  /* then jump */
-
-setup_genieboard:
-       /*--------------------------------------------------------------- */
-       /* Memory Bank 1 (Application Flash) initialization for generic CPU board */
-       /*--------------------------------------------------------------- */
-/*     WDCR_EBC(PB1AP, 0x7b015480)     /###* T.B.M. */
-/*     WDCR_EBC(PB1AP, 0x7F8FFE80)     /###* T.B.M. */
-       WDCR_EBC(PB1AP, 0x9b015480)     /* hlb-20020207: burst 8 bit 6 cycles  */
-
-/*     WDCR_EBC(PB1CR, 0x20098000)     /###* 16 MB */
-       WDCR_EBC(PB1CR, 0x200B8000)     /* 32 MB */
-
-       /*--------------------------------------------------------------- */
-       /* Memory Bank 4 (Onboard FPGA) initialization for generic CPU board */
-       /*--------------------------------------------------------------- */
-       WDCR_EBC(PB4AP, 0x01010000)     /*  */
-       WDCR_EBC(PB4CR, 0x1021c000)     /*  */
-
-       /*--------------------------------------------------------------- */
-       /* Memory Bank 7 (Heathrow chip on Reference board) initialization */
-       /*--------------------------------------------------------------- */
-       WDCR_EBC(PB7AP, 0x200ffe80)     /* No Ready, many wait states (let reflections die out) */
-       WDCR_EBC(PB7CR, 0X4001A000)
-
-       bl      setup_continue
-
-
-setup_h2evalboard:
-       /*--------------------------------------------------------------- */
-       /* Memory Bank 1 (Application Flash) initialization */
-       /*--------------------------------------------------------------- */
-       WDCR_EBC(PB1AP, 0x7b015480)     /* T.B.M. */
-/*3010 WDCR_EBC(PB1AP, 0x7F8FFE80)     /###* T.B.M. */
-       WDCR_EBC(PB1CR, 0x20058000)
-
-       /*--------------------------------------------------------------- */
-       /* Memory Bank 2 (Application Flash) initialization */
-       /*--------------------------------------------------------------- */
-       WDCR_EBC(PB2AP, 0x7b015480)     /* T.B.M. */
-/*3010 WDCR_EBC(PB2AP, 0x7F8FFE80)     /###* T.B.M. */
-       WDCR_EBC(PB2CR, 0x20458000)
-
-       /*--------------------------------------------------------------- */
-       /* Memory Bank 3 (Application Flash) initialization */
-       /*--------------------------------------------------------------- */
-       WDCR_EBC(PB3AP, 0x7b015480)     /* T.B.M. */
-/*3010 WDCR_EBC(PB3AP, 0x7F8FFE80)     /###* T.B.M. */
-       WDCR_EBC(PB3CR, 0x20858000)
-
-       /*--------------------------------------------------------------- */
-       /* Memory Bank 4 (Application Flash) initialization */
-       /*--------------------------------------------------------------- */
-       WDCR_EBC(PB4AP, 0x7b015480)     /* T.B.M. */
-/*3010 WDCR_EBC(PB4AP, 0x7F8FFE80)     /###* T.B.M. */
-       WDCR_EBC(PB4CR, 0x20C58000)
-
-       /*--------------------------------------------------------------- */
-       /* Memory Bank 7 (Heathrow chip) initialization */
-       /*--------------------------------------------------------------- */
-       WDCR_EBC(PB7AP, 0x02000280)     /* No Ready, 4 wait states */
-       WDCR_EBC(PB7CR, 0X4001A000)
-
-setup_continue:
-
-
-       mtlr    r31                     /* restore lr    */
-       nop                             /* pass2 DCR errata #8 */
-       blr
-
-/*--------------------------------------------------------------------- */
-/* Function:     sdram_init */
-/* Description:  Configures SDRAM memory banks. */
-/*--------------------------------------------------------------------- */
-       .globl  sdram_init
-
-sdram_init:
-#if CONFIG_SYS_MONITOR_BASE < CONFIG_SYS_FLASH_BASE
-       blr
-#else
-       mflr    r31
-
-       /* output SDRAM code  on LEDs */
-       addi    r4, 0, LED_SDRAM_CODE_1
-       addis   r5, 0, 0x1000
-       ori     r5, r5, 0x0001
-       stb     r4,0(r5)
-       eieio
-
-       /* Read contents of spd */
-       /*--------------------- */
-       bl      read_spd
-
-       /*----------------------------------------------------------- */
-       /* */
-       /* */
-       /* Update SDRAM timing register */
-       /* */
-       /* */
-       /*----------------------------------------------------------- */
-
-       /* Read  PLL feedback divider and calculate clock period of local bus in */
-       /* granularity of 10 ps. Save clock period in r30 */
-       /*-------------------------------------------------------------- */
-       mfdcr   r4, CPC0_PLLMR
-       addi    r9, 0, 25
-       srw     r4, r4, r9
-       andi.   r4, r4, 0x07
-       addis   r5, 0,  TIMEBASE_10PS@h
-       ori     r5, r5, TIMEBASE_10PS@l
-       divwu   r30, r5, r4
-
-       /* Determine CASL */
-       /*--------------- */
-       bl      find_casl       /* Returns CASL in r3 */
-
-       /* Calc trp_clocks = (trp * 100 + (clk - 1)) / clk */
-       /* (trp read from byte 27 in granularity of 1 ns) */
-       /*------------------------------------------------ */
-       mulli   r16, r16, 100
-       add     r16, r16, r30
-       addi    r6, 0, 1
-       subf    r16, r6, r16
-       divwu   r16, r16, r30
-
-       /* Calc trcd_clocks = (trcd * 100 + (clk - 1) ) / clk */
-       /* (trcd read from byte 29 in granularity of 1 ns) */
-       /*--------------------------------------------------- */
-       mulli   r17, r17, 100
-       add     r17, r17, r30
-       addi    r6, 0, 1
-       subf    r17, r6, r17
-       divwu   r17, r17, r30
-
-       /* Calc tras_clocks = (tras * 100 + (clk - 1) ) / clk */
-       /* (tras read from byte 30 in granularity of 1 ns) */
-       /*--------------------------------------------------- */
-       mulli   r18, r18, 100
-       add     r18, r18, r30
-       addi    r6, 0, 1
-       subf    r18, r6, r18
-       divwu   r18, r18, r30
-
-       /* Calc trc_clocks = trp_clocks + tras_clocks */
-       /*------------------------------------------- */
-       add     r18, r18, r16
-
-       /* CASL value */
-       /*----------- */
-       addi    r9, 0, 23
-       slw     r4, r3, r9
-
-       /* PTA = trp_clocks - 1 */
-       /*--------------------- */
-       addi    r6, 0, 1
-       subf    r5, r6, r16
-       addi    r9, 0, 18
-       slw     r5, r5, r9
-       or      r4, r4, r5
-
-       /* CTP = trc_clocks - trp_clocks - trcd_clocks - 1 */
-       /*------------------------------------------------ */
-       addi    r5, r18, 0
-       subf    r5, r16, r5
-       subf    r5, r17, r5
-       addi    r6, 0, 1
-       subf    r5, r6, r5
-       addi    r9, 0, 16
-       slw     r5, r5, r9
-       or      r4, r4, r5
-
-       /* LDF = 1 */
-       /*-------- */
-       ori     r4, r4, 0x4000
-
-       /* RFTA = trc_clocks - 4 */
-       /*---------------------- */
-       addi    r6, 0, 4
-       subf    r5, r6, r18
-       addi    r9, 0, 2
-       slw     r5, r5, r9
-       or      r4, r4, r5
-
-       /* RCD = trcd_clocks - 1 */
-       /*---------------------- */
-       addi    r6, 0, 1
-       subf    r5, r6, r17
-       or      r4, r4, r5
-
-       /*----------------------------------------------------------- */
-       /* Set SDTR1  */
-       /*----------------------------------------------------------- */
-       addi    r5,0,SDRAM0_TR
-       mtdcr   SDRAM0_CFGADDR,r5
-       mtdcr   SDRAM0_CFGDATA,r4
-
-       /*----------------------------------------------------------- */
-       /* */
-       /* */
-       /* Update memory bank 0-3 configuration registers */
-       /* */
-       /* */
-       /*----------------------------------------------------------- */
-
-       /* Build contents of configuration register for bank 0 into r6 */
-       /*------------------------------------------------------------ */
-       bl      find_mode       /* returns addressing mode in r3 */
-       addi    r29, r3, 0      /* save mode temporarily in r29 */
-       bl      find_size_code  /* returns size code in r3 */
-       addi    r9, 0, 17       /* bit offset of size code in configuration register */
-       slw     r3, r3, r9      /* */
-       addi    r9, 0, 13       /* bit offset of addressing mode in configuration register  */
-       slw     r29, r29, r9    /*  */
-       or      r3, r29, r3     /* merge size code and addressing mode */
-       ori     r6, r3, CONFIG_SYS_SDRAM_BASE + 1 /* insert base address and enable bank */
-
-       /* Calculate banksize r15 = (density << 22) / 2 */
-       /*--------------------------------------------- */
-       addi    r9, 0, 21
-       slw     r15, r15, r9
-
-       /* Set SDRAM bank 0 register and adjust r6 for next bank */
-       /*------------------------------------------------------ */
-       addi    r7,0,SDRAM0_B0CR
-       mtdcr   SDRAM0_CFGADDR,r7
-       mtdcr   SDRAM0_CFGDATA,r6
-
-       add     r6, r6, r15     /* add bank size to base address for next bank */
-
-       /* If two rows/banks then set SDRAM bank 1 register and adjust r6 for next bank */
-       /*---------------------------------------------------------------------------- */
-       cmpi    0, r12, 2
-       bne     b1skip
-
-       addi    r7,0,SDRAM0_B1CR
-       mtdcr   SDRAM0_CFGADDR,r7
-       mtdcr   SDRAM0_CFGDATA,r6
-
-       add     r6, r6, r15     /* add bank size to base address for next bank */
-
-       /* Set SDRAM bank 2 register and adjust r6 for next bank */
-       /*------------------------------------------------------ */
-b1skip:        addi    r7,0,SDRAM0_B2CR
-       mtdcr   SDRAM0_CFGADDR,r7
-       mtdcr   SDRAM0_CFGDATA,r6
-
-       add     r6, r6, r15     /* add bank size to base address for next bank */
-
-       /* If two rows/banks then set SDRAM bank 3 register */
-       /*------------------------------------------------ */
-       cmpi    0, r12, 2
-       bne     b3skip
-
-       addi    r7,0,SDRAM0_B3CR
-       mtdcr   SDRAM0_CFGADDR,r7
-       mtdcr   SDRAM0_CFGDATA,r6
-b3skip:
-
-       /*----------------------------------------------------------- */
-       /* Set RTR */
-       /*----------------------------------------------------------- */
-       cmpi    0, r30, 1600
-       bge     rtr_1
-       addis   r7, 0, 0x05F0   /* RTR value for 100Mhz */
-       bl      rtr_2
-rtr_1: addis   r7, 0, 0x03F8
-rtr_2: addi    r4,0,SDRAM0_RTR
-       mtdcr   SDRAM0_CFGADDR,r4
-       mtdcr   SDRAM0_CFGDATA,r7
-
-       /*----------------------------------------------------------- */
-       /* Delay to ensure 200usec have elapsed since reset. Assume worst */
-       /* case that the core is running 200Mhz: */
-       /*   200,000,000 (cycles/sec) X .000200 (sec) = 0x9C40 cycles */
-       /*----------------------------------------------------------- */
-       addis   r3,0,0x0000
-       ori     r3,r3,0xA000          /* ensure 200usec have passed since reset */
-       mtctr   r3
-..spinlp2:
-       bdnz    ..spinlp2               /* spin loop */
-
-       /*----------------------------------------------------------- */
-       /* Set memory controller options reg, MCOPT1. */
-       /* Set DC_EN to '1' and BRD_PRF to '01' for 16 byte PLB Burst  */
-       /* read/prefetch. */
-       /*----------------------------------------------------------- */
-       addi    r4,0,SDRAM0_CFG
-       mtdcr   SDRAM0_CFGADDR,r4
-       addis   r4,0,0x80C0             /* set DC_EN=1 */
-       ori     r4,r4,0x0000
-       mtdcr   SDRAM0_CFGDATA,r4
-
-
-       /*----------------------------------------------------------- */
-       /* Delay to ensure 10msec have elapsed since reset. This is */
-       /* required for the MPC952 to stabalize. Assume worst */
-       /* case that the core is running 200Mhz: */
-       /*   200,000,000 (cycles/sec) X .010 (sec) = 0x1E8480 cycles */
-       /* This delay should occur before accessing SDRAM. */
-       /*----------------------------------------------------------- */
-       addis   r3,0,0x001E
-       ori     r3,r3,0x8480          /* ensure 10msec have passed since reset */
-       mtctr   r3
-..spinlp3:
-       bdnz    ..spinlp3                /* spin loop */
-
-       /* output SDRAM code  on LEDs */
-       addi    r4, 0, LED_SDRAM_CODE_16
-       addis   r5, 0, 0x1000
-       ori     r5, r5, 0x0001
-       stb     r4,0(r5)
-       eieio
-
-       mtlr    r31                     /* restore lr */
-       blr
-
-/*--------------------------------------------------------------------- */
-/* Function:    read_spd */
-/* Description: Reads contents of SPD and saves parameters to be used for */
-/*             configuration in dedicated registers (see code below). */
-/*---------------------------------------------------------------------         */
-
-#define WRITE_I2C(reg,val) \
-       addi    r3,0,val;\
-       addis   r4, 0, 0xef60;\
-       ori     r4, r4, 0x0500 + reg;\
-       stb     r3, 0(r4);\
-       eieio
-
-#define READ_I2C(reg) \
-       addis   r3, 0, 0xef60;\
-       ori     r3, r3, 0x0500 + reg;\
-       lbz     r3, 0x0000(r3);\
-       eieio
-
-read_spd:
-
-       mflr    r5
-
-       /* Initialize i2c */
-       /*--------------- */
-       WRITE_I2C(IICLMADR, 0x00)       /* clear lo master address */
-       WRITE_I2C(IICHMADR, 0x00)       /* clear hi master address */
-       WRITE_I2C(IICLSADR, 0x00)       /* clear lo slave address */
-       WRITE_I2C(IICHSADR, 0x00)       /* clear hi slave address */
-       WRITE_I2C(IICSTS, 0x08)         /* update status register */
-       WRITE_I2C(IICEXTSTS, 0x8f)
-       WRITE_I2C(IIC0_CLKDIV, 0x05)
-       WRITE_I2C(IICINTRMSK, 0x00)     /* no interrupts */
-       WRITE_I2C(IICXFRCNT, 0x00)      /* clear transfer count */
-       WRITE_I2C(IICXTCNTLSS, 0xf0)    /* clear extended control & stat */
-       WRITE_I2C(IICMDCNTL, IIC_MDCNTL_FSDB | IIC_MDCNTL_FMDB) /* mode control */
-       READ_I2C(IICMDCNTL)
-       ori     r3, r3, IIC_MDCNTL_EUBS | IIC_MDCNTL_HSCL
-       WRITE_I2C(IICMDCNTL, r3)        /* mode control */
-       WRITE_I2C(IICCNTL, 0x00)        /* clear control reg */
-
-       /* Wait until initialization completed */
-       /*------------------------------------ */
-       bl      wait_i2c_transfer_done
-
-       WRITE_I2C(IICHMADR, 0x00)       /* 7-bit addressing */
-       WRITE_I2C(IICLMADR, SDRAM_SPD_WRITE_ADDRESS)
-
-       /* Write 0 into buffer(start address) */
-       /*----------------------------------- */
-       WRITE_I2C(IICMDBUF, 0x00);
-
-       /* Wait a little */
-       /*-------------- */
-       addis   r3,0,0x0000
-       ori     r3,r3,0xA000
-       mtctr   r3
-in02:  bdnz    in02
-
-       /* Issue write command */
-       /*-------------------- */
-       WRITE_I2C(IICCNTL, IIC_CNTL_PT)
-       bl      wait_i2c_transfer_done
-
-       /* Read 128 bytes */
-       /*--------------- */
-       addi    r7, 0, 0        /* byte counter in r7 */
-       addi    r8, 0, 0        /* checksum in r8 */
-rdlp:
-       /* issue read command */
-       /*------------------- */
-       cmpi    0, r7, 127
-       blt     rd01
-       WRITE_I2C(IICCNTL, IIC_CNTL_READ | IIC_CNTL_PT)
-       bl      rd02
-rd01:  WRITE_I2C(IICCNTL, IIC_CNTL_READ | IIC_CNTL_CHT | IIC_CNTL_PT)
-rd02:  bl      wait_i2c_transfer_done
-
-       /* Fetch byte from buffer */
-       /*----------------------- */
-       READ_I2C(IICMDBUF)
-
-       /* Retrieve parameters that are going to be used during configuration. */
-       /* Save them in dedicated registers. */
-       /*------------------------------------------------------------ */
-       cmpi    0, r7, 3        /* Save byte 3 in r10 */
-       bne     rd10
-       addi    r10, r3, 0
-rd10:  cmpi    0, r7, 4        /* Save byte 4 in r11 */
-       bne     rd11
-       addi    r11, r3, 0
-rd11:  cmpi    0, r7, 5        /* Save byte 5 in r12 */
-       bne     rd12
-       addi    r12, r3, 0
-rd12:  cmpi    0, r7, 17       /* Save byte 17 in r13 */
-       bne     rd13
-       addi    r13, r3, 0
-rd13:  cmpi    0, r7, 18       /* Save byte 18 in r14 */
-       bne     rd14
-       addi    r14, r3, 0
-rd14:  cmpi    0, r7, 31       /* Save byte 31 in r15 */
-       bne     rd15
-       addi    r15, r3, 0
-rd15:  cmpi    0, r7, 27       /* Save byte 27 in r16 */
-       bne     rd16
-       addi    r16, r3, 0
-rd16:  cmpi    0, r7, 29       /* Save byte 29 in r17 */
-       bne     rd17
-       addi    r17, r3, 0
-rd17:  cmpi    0, r7, 30       /* Save byte 30 in r18 */
-       bne     rd18
-       addi    r18, r3, 0
-rd18:  cmpi    0, r7, 9        /* Save byte 9 in r19 */
-       bne     rd19
-       addi    r19, r3, 0
-rd19:  cmpi    0, r7, 23       /* Save byte 23 in r20 */
-       bne     rd20
-       addi    r20, r3, 0
-rd20:  cmpi    0, r7, 25       /* Save byte 25 in r21 */
-       bne     rd21
-       addi    r21, r3, 0
-rd21:
-
-       /* Calculate checksum of the first 63 bytes */
-       /*----------------------------------------- */
-       cmpi    0, r7, 63
-       bgt     rd31
-       beq     rd30
-       add     r8, r8, r3
-       bl      rd31
-
-       /* Verify checksum at byte 63 */
-       /*--------------------------- */
-rd30:  andi.   r8, r8, 0xff            /* use only 8 bits */
-       cmp     0, r8, r3
-       beq     rd31
-       addi    r4, 0, LED_SDRAM_CODE_8
-       addis   r5, 0, 0x1000
-       ori     r5, r5, 0x0001
-       stb     r4,0(r5)
-       eieio
-rderr: bl      rderr
-
-rd31:
-
-       /* Increment byte counter and check whether all bytes have been read. */
-       /*------------------------------------------------------------------- */
-       addi    r7, r7, 1
-       cmpi    0, r7, 127
-       bgt     rd05
-       bl      rdlp
-rd05:
-       mtlr    r5                     /* restore lr */
-       blr
-
-wait_i2c_transfer_done:
-       mflr    r6
-wt01:  READ_I2C(IICSTS)
-       andi.   r4, r3, IIC_STS_PT
-       cmpi    0, r4, IIC_STS_PT
-       beq     wt01
-       mtlr    r6                     /* restore lr */
-       blr
-
-/*--------------------------------------------------------------------- */
-/* Function:    find_mode */
-/* Description: Determines addressing mode to be used dependent on   */
-/*             number of rows (r10 = byte 3 from SPD), number of columns (r11 = */
-/*             byte 4 from SPD) and number of banks (r13 = byte 17 from SPD). */
-/*             mode is returned in r3. */
-/* (It would be nicer having a table, pnc). */
-/*---------------------------------------------------------------------         */
-find_mode:
-
-       mflr    r5
-
-       cmpi    0, r10, 11
-       bne     fm01
-       cmpi    0, r11, 9
-       bne     fm01
-       cmpi    0, r13, 2
-       bne     fm01
-       addi    r3, 0, 1
-       bl      fmfound
-
-fm01:  cmpi    0, r10, 11
-       bne     fm02
-       cmpi    0, r11, 10
-       bne     fm02
-       cmpi    0, r13, 2
-       bne     fm02
-       addi    r3, 0, 1
-       bl      fmfound
-
-fm02:  cmpi    0, r10, 12
-       bne     fm03
-       cmpi    0, r11, 9
-       bne     fm03
-       cmpi    0, r13, 4
-       bne     fm03
-       addi    r3, 0, 2
-       bl      fmfound
-
-fm03:  cmpi    0, r10, 12
-       bne     fm04
-       cmpi    0, r11, 10
-       bne     fm04
-       cmpi    0, r13, 4
-       bne     fm04
-       addi    r3, 0, 2
-       bl      fmfound
-
-fm04:  cmpi    0, r10, 13
-       bne     fm05
-       cmpi    0, r11, 9
-       bne     fm05
-       cmpi    0, r13, 4
-       bne     fm05
-       addi    r3, 0, 3
-       bl      fmfound
-
-fm05:  cmpi    0, r10, 13
-       bne     fm06
-       cmpi    0, r11, 10
-       bne     fm06
-       cmpi    0, r13, 4
-       bne     fm06
-       addi    r3, 0, 3
-       bl      fmfound
-
-fm06:  cmpi    0, r10, 13
-       bne     fm07
-       cmpi    0, r11, 11
-       bne     fm07
-       cmpi    0, r13, 4
-       bne     fm07
-       addi    r3, 0, 3
-       bl      fmfound
-
-fm07:  cmpi    0, r10, 12
-       bne     fm08
-       cmpi    0, r11, 8
-       bne     fm08
-       cmpi    0, r13, 2
-       bne     fm08
-       addi    r3, 0, 4
-       bl      fmfound
-
-fm08:  cmpi    0, r10, 12
-       bne     fm09
-       cmpi    0, r11, 8
-       bne     fm09
-       cmpi    0, r13, 4
-       bne     fm09
-       addi    r3, 0, 4
-       bl      fmfound
-
-fm09:  cmpi    0, r10, 11
-       bne     fm10
-       cmpi    0, r11, 8
-       bne     fm10
-       cmpi    0, r13, 2
-       bne     fm10
-       addi    r3, 0, 5
-       bl      fmfound
-
-fm10:  cmpi    0, r10, 11
-       bne     fm11
-       cmpi    0, r11, 8
-       bne     fm11
-       cmpi    0, r13, 4
-       bne     fm11
-       addi    r3, 0, 5
-       bl      fmfound
-
-fm11:  cmpi    0, r10, 13
-       bne     fm12
-       cmpi    0, r11, 8
-       bne     fm12
-       cmpi    0, r13, 2
-       bne     fm12
-       addi    r3, 0, 6
-       bl      fmfound
-
-fm12:  cmpi    0, r10, 13
-       bne     fm13
-       cmpi    0, r11, 8
-       bne     fm13
-       cmpi    0, r13, 4
-       bne     fm13
-       addi    r3, 0, 6
-       bl      fmfound
-
-fm13:  cmpi    0, r10, 13
-       bne     fm14
-       cmpi    0, r11, 9
-       bne     fm14
-       cmpi    0, r13, 2
-       bne     fm14
-       addi    r3, 0, 7
-       bl      fmfound
-
-fm14:  cmpi    0, r10, 13
-       bne     fm15
-       cmpi    0, r11, 10
-       bne     fm15
-       cmpi    0, r13, 2
-       bne     fm15
-       addi    r3, 0, 7
-       bl      fmfound
-
-fm15:
-       /* not found, error code to be issued on LEDs */
-       addi    r7, 0, LED_SDRAM_CODE_2
-       addis   r6, 0, 0x1000
-       ori     r6, r6, 0x0001
-       stb     r7,0(r6)
-       eieio
-fmerr: bl      fmerr
-
-fmfound:addi   r6, 0, 1
-       subf    r3, r6, r3
-
-       mtlr    r5                     /* restore lr */
-       blr
-
-/*--------------------------------------------------------------------- */
-/* Function:    find_size_code */
-/* Description: Determines size code to be used in configuring SDRAM controller */
-/*             dependent on density (r15 = byte 31 from SPD) */
-/*--------------------------------------------------------------------- */
-find_size_code:
-
-       mflr    r5
-
-       addi    r3, r15, 0      /* density */
-       addi    r7, 0, 0
-fs01:  andi.   r6, r3, 0x01
-       cmpi    0, r6, 1
-       beq     fs04
-
-       addi    r7, r7, 1
-       cmpi    0, r7, 7
-       bge     fs02
-       addi    r9, 0, 1
-       srw     r3, r3, r9
-       bl      fs01
-
-       /* not found, error code to be issued on LEDs */
-fs02:  addi    r4, 0, LED_SDRAM_CODE_3
-       addis   r8, 0, 0x1000
-       ori     r8, r8, 0x0001
-       stb     r4,0(r8)
-       eieio
-fs03:  bl      fs03
-
-fs04:  addi    r3, r7, 0
-       cmpi    0, r3, 0
-       beq     fs05
-       addi    r6, 0, 1
-       subf    r3, r6, r3
-fs05:
-       mtlr    r5                     /* restore lr */
-       blr
-
-/*--------------------------------------------------------------------- */
-/* Function:    find_casl */
-/* Description: Determines CAS latency */
-/*--------------------------------------------------------------------- */
-find_casl:
-
-       mflr    r5
-
-       andi.   r14, r14, 0x7f  /* r14 holds supported CAS latencies */
-       addi    r3, 0, 0xff     /* preset determined CASL */
-       addi    r4, 0, 6        /* Start at bit 6 of supported CAS latencies */
-       addi    r2, 0, 0        /* Start finding highest CAS latency */
-
-fc01:  srw     r6, r14, r4     /*  */
-       andi.   r6, r6, 0x01    /*  */
-       cmpi    0, r6, 1        /* Check bit for current latency */
-       bne     fc06            /* If not supported, go to next */
-
-       cmpi    0, r2, 2        /* Check if third-highest latency */
-       bge     fc04            /* If so, go calculate with another format */
-
-       cmpi    0, r2, 0        /* Check if highest latency */
-       bgt     fc02            /* */
-       addi    r7, r19, 0      /* SDRAM cycle time for highest CAS latenty */
-
-       bl      fc03
-fc02:
-       addi    r7, r20, 0      /* SDRAM cycle time for next-highest CAS latenty */
-fc03:
-       addi    r8, r7, 0
-       addi    r9, 0, 4
-       srw     r7, r7, r9
-       andi.   r7, r7, 0x0f
-       mulli   r7, r7, 100
-       andi.   r8, r8, 0x0f
-       mulli   r8, r8, 10
-       add     r7, r7, r8
-       cmp     0, r7, r30
-       bgt     fc05
-       addi    r3, r2, 0
-       bl      fc05
-fc04:
-       addi    r7, r21, 0      /* SDRAM cycle time for third-highest CAS latenty */
-       addi    r8, r7, 0
-       addi    r9, 0, 2
-       srw     r7, r7, r9
-       andi.   r7, r7, 0x3f
-       mulli   r7, r7, 100
-       andi.   r8, r8, 0x03
-       mulli   r8, r8, 25
-       add     r7, r7, r8
-
-       cmp     0, r7, r30
-       bgt     fc05
-       addi    r3, r2, 0
-
-fc05:  addi    r2, r2, 1       /* next latency */
-       cmpi    0, r2, 3
-       bge     fc07
-fc06:  addi    r6, 0, 1
-       subf    r4, r6, r4
-       cmpi    0, r4, 0
-       bne     fc01
-
-fc07:
-
-       mtlr    r5              /* restore lr */
-       blr
-#endif
-
-
-/*  Peripheral Bank 1 Access Parameters */
-/*     0       BME = 1 ; burstmode enabled */
-/*    " 1:8"   TWT=00110110    ;Transfer wait (details below) */
-/*     1:5     FWT=00110       ; first wait = 6 cycles */
-/*     6:8     BWT=110 ; burst wait = 6 cycles */
-/*     9:11    000     ; reserved */
-/*     12:13   CSN=00  ; chip select on timing = 0 */
-/*     14:15   OEN=01  ; output enable  */
-/*     16:17   WBN=01  ; write byte enable on timing 1 cycle */
-/*     18:19   WBF=01  ; write byte enable off timing 1 cycle */
-/*     20:22   TH=010  ; transfer hold = 2 cycles */
-/*     23      RE=0    ; ready enable = disabled */
-/*     24      SOR=1   ; sample on ready = same PerClk */
-/*     25      BEM=0   ; byte enable mode = only for write cycles */
-/*     26      PEN=0   ; parity enable = disable */
-/*     27:31   00000   ;reserved */
-/* */
-/* 1 + 00110 + 110 + 000 + 00 + 01 + 01 + 01 + 010 + 0 + 1 + 0 + 0 + 00000 = 0x9b015480 */
-/* */
-/* */
-/*     Code for BDI probe: */
-/* */
-/* WDCR    18      0x00000011      ;Select PB1AP */
-/* WDCR    19      0x1b015480      ;PB1AP: Flash */
-/* */
-/* Peripheral Bank 0 Access Parameters */
-/* 0:11        BAS=0x200       ; base address select = 0x200 * 0x100000 (1MB) =  */
-/* 12:14       BS=100  ; bank size =  16MB (100) / 32MB (101) */
-/* 15:16       BU=11   ; bank usage = read/write */
-/* 17:18       BW=00   ; bus width = 8-bit */
-/* 19:31               ; reserved */
-/* */
-/* 0x200 + 100 + 11 + 00 + 0 0000 0000 0000 = 0x20098000 */
-/* WDCR    18      0x00000001      ;Select PB1CR */
-/* WDCR    19      0x20098000      ;PB1CR: 1MB at 0x00100000, r/w, 8bit */
-
-/* For CPLD */
-/* 0 + 00000 + 010 + 000 + 00 + 01 + 00 + 00 + 000 + 0 + 0 + 1 + 0 + 00000 */
-/*     WDCR_EBC(PB5AP, 0x01010040) */
-/*jsa recommendation:          WDCR_EBC(PB5AP, 0x00010040) */
-/*     WDCR_EBC(PB5CR, 0X10018000) */
-/* Access parms */
-/*   100   3      8          0    0    0 */
-/* 0x100 + 001 + 11 + 00 + 0 0000 0000 0000 = 0x10038000 */
-/* Address :   0x10000000 */
-/* Size:       2 MB */
-/* Usage:      read/write */
-/* Width:      32 bit */
-
-/* For Genie onboard fpga 32 bit interface */
-/* 0      1      0         1         0         0         0            0 */
-/* 0 + 00000 + 010 + 000 + 00 + 01 + 00 + 00 + 000 + 0 + 0 + 0 + 0 + 00000 */
-/* 0x01010000 */
-/* Access parms */
-/*   102   1      c          0    0    0 */
-/* 0x102 + 000 + 11 + 10 + 0 0000 0000 0000 = 0x1021c000 */
-/* Address :   0x10200000 */
-/* Size:       2 MB */
-/* Usage:      read/write */
-/* Width:      32 bit */
-
-/* Walnut fpga PB7AP */
-/* 0      1      8         1         5         2         8            0 */
-/* 0 + 00000 + 011 + 000 + 00 + 01 + 01 + 01 + 001 + 0 + 1 + 0 + 0 + 00000 */
-/* Walnut fpga PB7CR */
-/* 0xF0318000 */
-/*  */
index d4ba043b28372ae2d4e8a16f6a3f2dc16c2dcf24..4f557329f485956b826f63397d2fb6ceef9dc598 100644 (file)
@@ -159,7 +159,8 @@ int board_eth_init(bd_t *bd)
                int i;
 
                for (i = 0; i < ARRAY_SIZE(uec_info); i++)
-                       uec_info[i].enet_interface = ENET_1000_RGMII_RXID;
+                       uec_info[i].enet_interface_type = RGMII_RXID;
+                       uec_info[i].speed = 1000;
        }
        return uec_eth_init(bd, uec_info, ARRAY_SIZE(uec_info));
 }
index 962f79b747732abb8bbb9024e690dd78d85c107b..7de0f7cf7d6cb2382114debb911ff18f6afadc20 100644 (file)
 #
 # mpc8569mds board
 #
+ifndef NAND_SPL
+ifeq ($(CONFIG_MK_NAND), y)
+TEXT_BASE = $(CONFIG_RAMBOOT_TEXT_BASE)
+LDSCRIPT := $(TOPDIR)/cpu/$(CPU)/u-boot-nand.lds
+endif
+endif
+
+ifndef TEXT_BASE
 TEXT_BASE = 0xfff80000
+endif
index 3b8ee050da1c8ccfc6c51584b8043816bd43fb56..73dcc3e66c5b4ebb484dfc09264b50cca4c7cd2b 100644 (file)
@@ -90,6 +90,17 @@ struct fsl_e_tlb_entry tlb_table[] = {
        SET_TLB_ENTRY(1, CONFIG_SYS_CCSRBAR, CONFIG_SYS_CCSRBAR_PHYS,
                      MAS3_SX|MAS3_SW|MAS3_SR, MAS2_I|MAS2_G,
                      0, 4, BOOKE_PAGESZ_64M, 1),
+
+#if defined(CONFIG_SYS_RAMBOOT) && defined(CONFIG_SYS_INIT_L2_ADDR)
+       /* *I*G - L2SRAM */
+       SET_TLB_ENTRY(1, CONFIG_SYS_INIT_L2_ADDR, CONFIG_SYS_INIT_L2_ADDR_PHYS,
+                       MAS3_SX|MAS3_SW|MAS3_SR, MAS2_I|MAS2_G,
+                       0, 5, BOOKE_PAGESZ_256K, 1),
+       SET_TLB_ENTRY(1, CONFIG_SYS_INIT_L2_ADDR + 0x40000,
+                       CONFIG_SYS_INIT_L2_ADDR_PHYS + 0x40000,
+                       MAS3_SX|MAS3_SW|MAS3_SR, MAS2_I|MAS2_G,
+                       0, 6, BOOKE_PAGESZ_256K, 1),
+#endif
 };
 
 int num_tlb_entries = ARRAY_SIZE(tlb_table);
index 59ed8ff6042b93ba3bb2db3c2d6ebb0a0e0b4534..3164cc5ac44b618d244f11a574391bfe3947ca1f 100644 (file)
 
 #include <common.h>
 #include <asm/arch/AT91RM9200.h>
+#include <asm/io.h>
+#include <netdev.h>
+#if defined(CONFIG_DRIVER_ETHER)
 #include <at91rm9200_net.h>
 #include <lxt971a.h>
+#endif
 
 DECLARE_GLOBAL_DATA_PTR;
 
@@ -92,3 +96,12 @@ void at91rm9200_GetPhyInterface(AT91PS_PhyOps p_phyops)
 
 #endif
 #endif /* CONFIG_DRIVER_ETHER */
+
+#ifdef CONFIG_DRIVER_AT91EMAC
+int board_eth_init(bd_t *bis)
+{
+       int rc = 0;
+       rc = at91emac_register(bis, 0);
+       return rc;
+}
+#endif
index 1e6a605672244e50c063b94d6cafcf439d15aaef..c9957684b181d6d1bec6076803a2e8868890ef94 100644 (file)
  */
 
 #include <common.h>
+#include <asm/io.h>
+#include <netdev.h>
+#if defined(CONFIG_DRIVER_ETHER)
 #include <at91rm9200_net.h>
 #include <dm9161.h>
+#endif
+
 #include "m501sk.h"
 #include "net.h"
 
@@ -186,4 +191,13 @@ void at91rm9200_GetPhyInterface(AT91PS_PhyOps p_phyops)
 }
 #endif /* CONFIG_CMD_NET */
 #endif /* CONFIG_DRIVER_ETHER */
+
+#ifdef CONFIG_DRIVER_AT91EMAC
+int board_eth_init(bd_t *bis)
+{
+       int rc = 0;
+       rc = at91emac_register(bis, 0);
+       return rc;
+}
+#endif
 #endif /* CONFIG_M501SK */
index dcda699dc876877d26b24220be9e289624c77c85..e5eba6bbc09473f96d2cb2ba956118cf5e16ee08 100644 (file)
 
 #include <common.h>
 #include <asm/arch/AT91RM9200.h>
+#include <netdev.h>
+#include <asm/io.h>
+#if defined(CONFIG_DRIVER_ETHER)
 #include <at91rm9200_net.h>
 #include <dm9161.h>
+#endif
 #include <asm/mach-types.h>
 
 DECLARE_GLOBAL_DATA_PTR;
@@ -83,3 +87,12 @@ void at91rm9200_GetPhyInterface(AT91PS_PhyOps p_phyops)
 
 #endif
 #endif /* CONFIG_DRIVER_ETHER */
+
+#ifdef CONFIG_DRIVER_AT91EMAC
+int board_eth_init(bd_t *bis)
+{
+       int rc = 0;
+       rc = at91emac_register(bis, 0);
+       return rc;
+}
+#endif
index 3e5fb44475b60fb98a228a8b40c8a15b3bdb85da..b34c4d02da00a52fa31458afa73fe01c6b411499 100644 (file)
@@ -225,20 +225,25 @@ do_imgextract(cmd_tbl_t * cmdtp, int flag, int argc, char *argv[])
                        break;
 #if defined(CONFIG_BZIP2)
                case IH_COMP_BZIP2:
-                       printf ("   Uncompressing part %d ... ", part);
-                       /*
-                        * If we've got less than 4 MB of malloc() space,
-                        * use slower decompression algorithm which requires
-                        * at most 2300 KB of memory.
-                        */
-                       i = BZ2_bzBuffToBuffDecompress
-                               ((char*)ntohl(hdr->ih_load),
-                                &unc_len, (char *)data, len,
-                                CONFIG_SYS_MALLOC_LEN < (4096 * 1024), 0);
-                       if (i != BZ_OK) {
-                               printf ("BUNZIP2 ERROR %d - "
-                                       "image not loaded\n", i);
-                               return 1;
+                       {
+                               int i;
+
+                               printf ("   Uncompressing part %d ... ", part);
+                               /*
+                                 * If we've got less than 4 MB of malloc()
+                                * space, use slower decompression algorithm
+                                * which requires at most 2300 KB of memory.
+                                */
+                               i = BZ2_bzBuffToBuffDecompress(
+                                       (char*)ntohl(hdr->ih_load),
+                                       &unc_len, (char *)data, len,
+                                       CONFIG_SYS_MALLOC_LEN < (4096 * 1024),
+                                       0);
+                               if (i != BZ_OK) {
+                                       printf ("BUNZIP2 ERROR %d - "
+                                               "image not loaded\n", i);
+                                       return 1;
+                               }
                        }
                        break;
 #endif /* CONFIG_BZIP2 */
index ca631af195b1d30b9c575bc0d9368322e09e31eb..a15a9509025d201337d7c7b2fb2c4a874a46a21b 100644 (file)
@@ -298,6 +298,13 @@ void env_relocate_spec (void)
        tmp_env1 = (env_t *) malloc(CONFIG_ENV_SIZE);
        tmp_env2 = (env_t *) malloc(CONFIG_ENV_SIZE);
 
+       if ((tmp_env1 == NULL) || (tmp_env2 == NULL)) {
+               puts("Can't allocate buffers for environment\n");
+               free (tmp_env1);
+               free (tmp_env2);
+               return use_default();
+       }
+
        if (readenv(CONFIG_ENV_OFFSET, (u_char *) tmp_env1))
                puts("No Valid Environment Area Found\n");
        if (readenv(CONFIG_ENV_OFFSET_REDUND, (u_char *) tmp_env2))
index 4e316183dbcae331b6abae572934f053969c1491..db799db6b2aaafffd064de76de6fb7d4f5517aa0 100644 (file)
@@ -456,22 +456,14 @@ ulong lcd_setmem (ulong addr)
 
 static void lcd_setfgcolor (int color)
 {
-#ifdef CONFIG_ATMEL_LCD
        lcd_color_fg = color;
-#else
-       lcd_color_fg = color & 0x0F;
-#endif
 }
 
 /*----------------------------------------------------------------------*/
 
 static void lcd_setbgcolor (int color)
 {
-#ifdef CONFIG_ATMEL_LCD
        lcd_color_bg = color;
-#else
-       lcd_color_bg = color & 0x0F;
-#endif
 }
 
 /*----------------------------------------------------------------------*/
index b52c615864c8739cb7acddac2b843bcfcf41ac8b..8de3cba738146177e539801eeba06359e3085a98 100644 (file)
 
 #include <at91rm9200_net.h>
 #include <net.h>
-#include <bcm5221.h>
-
 #ifdef CONFIG_DRIVER_ETHER
 
+#include <bcm5221.h>
+
 #if defined(CONFIG_CMD_NET)
 
 /*
index 1beb6e8ba117047d13462a5c0307b8a2be7f0404..6d4384f41a0a57c9b7192d6d0a6b0a0a630dbd97 100644 (file)
@@ -23,9 +23,8 @@
 
 #include <at91rm9200_net.h>
 #include <net.h>
-#include <dm9161.h>
-
 #ifdef CONFIG_DRIVER_ETHER
+#include <dm9161.h>
 
 #if defined(CONFIG_CMD_NET)
 
index 4421b6a99015dba90f58f39540379af2cad322bf..3b81151f49cecaa0b42875ed031b8e3f313cc1c6 100644 (file)
 .globl _start
 _start:
        b       reset
+#ifdef CONFIG_PRELOADER
+/* No exception handlers in preloader */
+       ldr     pc, _hang
+       ldr     pc, _hang
+       ldr     pc, _hang
+       ldr     pc, _hang
+       ldr     pc, _hang
+       ldr     pc, _hang
+       ldr     pc, _hang
+
+_hang:
+       .word   do_hang
+/* pad to 64 byte boundary */
+       .word   0x12345678
+       .word   0x12345678
+       .word   0x12345678
+       .word   0x12345678
+       .word   0x12345678
+       .word   0x12345678
+       .word   0x12345678
+#else
        ldr     pc, _undefined_instruction
        ldr     pc, _software_interrupt
        ldr     pc, _prefetch_abort
@@ -76,6 +97,7 @@ _irq:
 _fiq:
        .word fiq
 
+#endif /* CONFIG_PRELOADER */
        .balignl 16,0xdeadbeef
 
 
@@ -150,7 +172,6 @@ relocate:                           /* relocate U-Boot to RAM           */
        ldr     r1, _TEXT_BASE          /* test if we run from flash or RAM */
        cmp     r0, r1                  /* don't reloc during debug         */
        beq     stack_setup
-
        ldr     r2, _armboot_start
        ldr     r3, _bss_start
        sub     r2, r3, r2              /* r2 <- size of armboot            */
@@ -166,11 +187,14 @@ copy_loop:
        /* Set up the stack                                                 */
 stack_setup:
        ldr     r0, _TEXT_BASE          /* upper 128 KiB: relocated uboot   */
+       sub     sp, r0, #128            /* leave 32 words for abort-stack   */
+#ifndef CONFIG_PRELOADER
        sub     r0, r0, #CONFIG_SYS_MALLOC_LEN  /* malloc area                      */
        sub     r0, r0, #CONFIG_SYS_GBL_DATA_SIZE /* bdinfo                        */
 #ifdef CONFIG_USE_IRQ
        sub     r0, r0, #(CONFIG_STACKSIZE_IRQ+CONFIG_STACKSIZE_FIQ)
 #endif
+#endif /* CONFIG_PRELOADER */
        sub     sp, r0, #12             /* leave 3 words for abort-stack    */
        bic     sp, r0, #7              /* 8-byte align stack for ABI compliance */
 
@@ -179,6 +203,7 @@ clear_bss:
        ldr     r1, _bss_end            /* stop here                        */
        mov     r2, #0x00000000         /* clear                            */
 
+#ifndef CONFIG_PRELOADER
 clbss_l:str    r2, [r0]                /* clear loop...                    */
        add     r0, r0, #4
        cmp     r0, r1
@@ -186,11 +211,16 @@ clbss_l:str       r2, [r0]                /* clear loop...                    */
 
        bl coloured_LED_init
        bl red_LED_on
+#endif /* CONFIG_PRELOADER */
 
        ldr     pc, _start_armboot
 
 _start_armboot:
+#ifdef CONFIG_NAND_SPL
+       .word nand_boot
+#else
        .word start_armboot
+#endif /* CONFIG_NAND_SPL */
 
 
 /*
@@ -231,6 +261,7 @@ cpu_init_crit:
        mov     pc, lr          /* back to my caller */
 #endif /* CONFIG_SKIP_LOWLEVEL_INIT */
 
+#ifndef CONFIG_PRELOADER
 /*
  *************************************************************************
  *
@@ -332,10 +363,18 @@ cpu_init_crit:
        .macro get_fiq_stack                    @ setup FIQ stack
        ldr     sp, FIQ_STACK_START
        .endm
+#endif /* CONFIG_PRELOADER */
 
 /*
  * exception handlers
  */
+#ifdef CONFIG_PRELOADER
+       .align  5
+do_hang:
+       ldr     sp, _TEXT_BASE                  /* switch to abort stack */
+1:
+       bl      1b                              /* hang and never return */
+#else  /* !CONFIG_PRELOADER */
        .align  5
 undefined_instruction:
        get_bad_stack
@@ -398,3 +437,4 @@ fiq:
        bl      do_fiq
 
 #endif
+#endif /* CONFIG_PRELOADER */
index b15146480b13f25d35d18e69c03fc744bab472c5..560c9b311c38ea81316fe64e584002622e3b4eb0 100644 (file)
@@ -40,15 +40,20 @@ void cpu_init_f (void)
                (struct mpc5xxx_mmap_ctl *) CONFIG_SYS_MBAR;
        volatile struct mpc5xxx_lpb *lpb =
                (struct mpc5xxx_lpb *) MPC5XXX_LPB;
-       volatile struct mpc5xxx_cdm *cdm =
-               (struct mpc5xxx_cdm *) MPC5XXX_CDM;
        volatile struct mpc5xxx_gpio *gpio =
                (struct mpc5xxx_gpio *) MPC5XXX_GPIO;
        volatile struct mpc5xxx_xlb *xlb =
                (struct mpc5xxx_xlb *) MPC5XXX_XLBARB;
+#if defined(CONFIG_SYS_IPBCLK_EQUALS_XLBCLK)
+       volatile struct mpc5xxx_cdm *cdm =
+               (struct mpc5xxx_cdm *) MPC5XXX_CDM;
+#endif /* CONFIG_SYS_IPBCLK_EQUALS_XLBCLK */
+#if defined(CONFIG_WATCHDOG)
        volatile struct mpc5xxx_gpt *gpt0 =
                (struct mpc5xxx_gpt *) MPC5XXX_GPT;
+#endif /* CONFIG_WATCHDOG */
        unsigned long addecr = (1 << 25); /* Boot_CS */
+
 #if defined(CONFIG_SYS_RAMBOOT) && defined(CONFIG_MGT5100)
        addecr |= (1 << 22); /* SDRAM enable */
 #endif
@@ -184,11 +189,11 @@ void cpu_init_f (void)
 
 # if defined(CONFIG_SYS_IPBCLK_EQUALS_XLBCLK)
        /* Motorola reports IPB should better run at 133 MHz. */
-#if defined(CONFIG_MGT5100)
+#  if defined(CONFIG_MGT5100)
        setbits_be32(&mm->addecr, 1);
-#elif defined(CONFIG_MPC5200)
+#  elif defined(CONFIG_MPC5200)
        setbits_be32(&mm->ipbi_ws_ctrl, 1);
-#endif
+#  endif
        /* pci_clk_sel = 0x02, ipb_clk_sel = 0x00; */
        addecr = in_be32(&cdm->cfg);
        addecr &= ~0x103;
index 34748dda2651d088a6635a70927c01d9fe32faa1..6a0cab3059ba2b0976afa3e6ca85b50c3ed9bc87 100644 (file)
@@ -161,8 +161,8 @@ static int cfi_mtd_set_erasesize(struct mtd_info *mtd, flash_info_t *fi)
        int sect;
        int regions = 0;
        int numblocks = 0;
-       ulong offset = 0;
-       ulong base_addr = fi->start[0];
+       ulong offset;
+       ulong base_addr;
 
        /*
         * First detect the number of eraseregions so that we can allocate
@@ -174,29 +174,35 @@ static int cfi_mtd_set_erasesize(struct mtd_info *mtd, flash_info_t *fi)
                sect_size_old = flash_sector_size(fi, sect);
        }
 
+       switch (regions) {
+       case 0:
+               return 1;
+       case 1: /* flash has uniform erase size */
+               mtd->numeraseregions = 0;
+               mtd->erasesize = sect_size_old;
+               return 0;
+       }
+
+       mtd->numeraseregions = regions;
        mtd->eraseregions = malloc(sizeof(struct mtd_erase_region_info) * regions);
 
        /*
         * Now detect the largest sector and fill the eraseregions
         */
-       sect_size_old = 0;
        regions = 0;
+       base_addr = offset = fi->start[0];
+       sect_size_old = flash_sector_size(fi, 0);
        for (sect = 0; sect < fi->sector_count; sect++) {
-               if ((sect_size_old != flash_sector_size(fi, sect)) &&
-                   (sect_size_old != 0)) {
+               if (sect_size_old != flash_sector_size(fi, sect)) {
                        mtd->eraseregions[regions].offset = offset - base_addr;
                        mtd->eraseregions[regions].erasesize = sect_size_old;
                        mtd->eraseregions[regions].numblocks = numblocks;
-
                        /* Now start counting the next eraseregions */
                        numblocks = 0;
                        regions++;
-               } else {
-                       numblocks++;
-               }
-
-               if (sect_size_old != flash_sector_size(fi, sect))
                        offset = fi->start[sect];
+               }
+               numblocks++;
 
                /*
                 * Select the largest sector size as erasesize (e.g. for UBI)
@@ -212,12 +218,7 @@ static int cfi_mtd_set_erasesize(struct mtd_info *mtd, flash_info_t *fi)
         */
        mtd->eraseregions[regions].offset = offset - base_addr;
        mtd->eraseregions[regions].erasesize = sect_size_old;
-       mtd->eraseregions[regions].numblocks = numblocks + 1;
-
-       if (regions)
-               mtd->numeraseregions = regions + 1;
-       else
-               mtd->numeraseregions = 0;
+       mtd->eraseregions[regions].numblocks = numblocks;
 
        mtd->erasesize = sect_size;
 
index b2b612e3a4a470e493252e5abcc16a7bf983e054..9633858a7d518bbb86e9c2507c50ee1bd2b78f30 100644 (file)
 #include <nand.h>
 #include <linux/err.h>
 #include <asm/io.h>
-#ifdef CONFIG_MX27
+#if defined(CONFIG_MX27) || defined(CONFIG_MX25) 
 #include <asm/arch/imx-regs.h>
 #endif
 
 #define DRIVER_NAME "mxc_nand"
 
+/*
+ * TODO: Use same register defs here as nand_spl mxc nand driver.
+ */
+/*
+ * Register map and bit definitions for the Freescale NAND Flash Controller
+ * present in various i.MX devices.
+ *
+ * MX31 and MX27 have version 1 which has
+ *     4 512 byte main buffers and
+ *     4 16 byte spare buffers
+ *     to support up to 2K byte pagesize nand.
+ *     Reading or writing a 2K page requires 4 FDI/FDO cycles.
+ *
+ * MX25 has version 1.1 which has
+ *     8 512 byte main buffers and
+ *     8 64 byte spare buffers
+ *     to support up to 4K byte pagesize nand.
+ *     Reading or writing a 2K or 4K page requires only 1 FDI/FDO cycle.
+ *      Also some of registers are moved and/or changed meaning as seen below.
+ */
+#if defined(CONFIG_MX31) || defined(CONFIG_MX27)
+#define MXC_NFC_V1
+#elif defined(CONFIG_MX25)
+#define MXC_NFC_V1_1
+#else
+#warning "MXC NFC version not defined"
+#endif
+
+#if defined(MXC_NFC_V1)
+#define NAND_MXC_NR_BUFS               4
+#define NAND_MXC_SPARE_BUF_SIZE                16
+#define NAND_MXC_REG_OFFSET            0xe00
+#define is_mxc_nfc_11()                0
+#elif defined(MXC_NFC_V1_1)
+#define NAND_MXC_NR_BUFS               8
+#define NAND_MXC_SPARE_BUF_SIZE                64
+#define NAND_MXC_REG_OFFSET            0x1e00
+#define is_mxc_nfc_11()                1
+#else
+#error "define CONFIG_NAND_MXC_VXXX to use mtd mxc nand driver"
+#endif
 struct nfc_regs {
-/* NFC RAM BUFFER Main area 0 */
-       uint8_t main_area0[0x200];
-       uint8_t main_area1[0x200];
-       uint8_t main_area2[0x200];
-       uint8_t main_area3[0x200];
-/* SPARE BUFFER Spare area 0 */
-       uint8_t spare_area0[0x10];
-       uint8_t spare_area1[0x10];
-       uint8_t spare_area2[0x10];
-       uint8_t spare_area3[0x10];
-       uint8_t pad[0x5c0];
-/* NFC registers */
+       uint8_t main_area[NAND_MXC_NR_BUFS][0x200];
+       uint8_t spare_area[NAND_MXC_NR_BUFS][NAND_MXC_SPARE_BUF_SIZE];
+       /*
+        * reserved size is offset of nfc registers
+        * minus total main and spare sizes
+        */
+       uint8_t reserved1[NAND_MXC_REG_OFFSET
+               - NAND_MXC_NR_BUFS * (512 + NAND_MXC_SPARE_BUF_SIZE)];
+#if defined(MXC_NFC_V1)
        uint16_t nfc_buf_size;
-       uint16_t reserved;
+       uint16_t reserved2;
        uint16_t nfc_buf_addr;
        uint16_t nfc_flash_addr;
        uint16_t nfc_flash_cmd;
@@ -56,6 +94,30 @@ struct nfc_regs {
        uint16_t nfc_nf_wrprst;
        uint16_t nfc_config1;
        uint16_t nfc_config2;
+#elif defined(MXC_NFC_V1_1)
+       uint16_t reserved2[2];
+       uint16_t nfc_buf_addr;
+       uint16_t nfc_flash_addr;
+       uint16_t nfc_flash_cmd;
+       uint16_t nfc_config;
+       uint16_t nfc_ecc_status_result;
+       uint16_t nfc_ecc_status_result2;
+       uint16_t nfc_spare_area_size;
+       uint16_t nfc_wrprot;
+       uint16_t reserved3[2];
+       uint16_t nfc_nf_wrprst;
+       uint16_t nfc_config1;
+       uint16_t nfc_config2;
+       uint16_t reserved4;
+       uint16_t nfc_unlockstart_blkaddr;
+       uint16_t nfc_unlockend_blkaddr;
+       uint16_t nfc_unlockstart_blkaddr1;
+       uint16_t nfc_unlockend_blkaddr1;
+       uint16_t nfc_unlockstart_blkaddr2;
+       uint16_t nfc_unlockend_blkaddr2;
+       uint16_t nfc_unlockstart_blkaddr3;
+       uint16_t nfc_unlockend_blkaddr3;
+#endif
 };
 
 /*
@@ -100,6 +162,11 @@ struct nfc_regs {
  */
 #define NFC_INT            0x8000
 
+#ifdef MXC_NFC_V1_1
+#define NFC_4_8N_ECC   (1 << 0)
+#else
+#define NFC_4_8N_ECC   0
+#endif
 #define NFC_SP_EN           (1 << 2)
 #define NFC_ECC_EN          (1 << 3)
 #define NFC_BIG             (1 << 5)
@@ -119,6 +186,7 @@ struct mxc_nand_host {
        int                     pagesize_2k;
        int                     clk_act;
        uint16_t                col_addr;
+       unsigned int            page_addr;
 };
 
 static struct mxc_nand_host mxc_host;
@@ -135,26 +203,45 @@ static struct mxc_nand_host *host = &mxc_host;
 #define SPARE_SINGLEBIT_ERROR 0x1
 
 /* OOB placement block for use with hardware ecc generation */
-#ifdef CONFIG_MXC_NAND_HWECC
+#if defined(MXC_NFC_V1)
+#ifndef CONFIG_SYS_NAND_LARGEPAGE
 static struct nand_ecclayout nand_hw_eccoob = {
        .eccbytes = 5,
        .eccpos = {6, 7, 8, 9, 10},
-       .oobfree = {{0, 5}, {11, 5}, }
+       .oobfree = { {0, 5}, {11, 5}, }
 };
 #else
-static struct nand_ecclayout nand_soft_eccoob = {
-       .eccbytes = 6,
-       .eccpos = {6, 7, 8, 9, 10, 11},
-       .oobfree = {{0, 5}, {12, 4}, }
+static struct nand_ecclayout nand_hw_eccoob2k = {
+       .eccbytes = 20,
+       .eccpos = {
+               6, 7, 8, 9, 10,
+               22, 23, 24, 25, 26,
+               38, 39, 40, 41, 42,
+               54, 55, 56, 57, 58,
+       },
+       .oobfree = { {2, 4}, {11, 11}, {27, 11}, {43, 11}, {59, 5} },
 };
 #endif
-
-static struct nand_ecclayout nand_hw_eccoob_largepage = {
-       .eccbytes = 20,
-       .eccpos = {6, 7, 8, 9, 10, 22, 23, 24, 25, 26,
-                  38, 39, 40, 41, 42, 54, 55, 56, 57, 58},
-       .oobfree = {{2, 4}, {11, 10}, {27, 10}, {43, 10}, {59, 5}, }
+#elif defined(MXC_NFC_V1_1)
+#ifndef CONFIG_SYS_NAND_LARGEPAGE
+static struct nand_ecclayout nand_hw_eccoob = {
+       .eccbytes = 9,
+       .eccpos = {7, 8, 9, 10, 11, 12, 13, 14, 15},
+       .oobfree = { {2, 5} }
 };
+#else
+static struct nand_ecclayout nand_hw_eccoob2k = {
+       .eccbytes = 36,
+       .eccpos = {
+               7, 8, 9, 10, 11, 12, 13, 14, 15,
+               23, 24, 25, 26, 27, 28, 29, 30, 31,
+               39, 40, 41, 42, 43, 44, 45, 46, 47,
+               55, 56, 57, 58, 59, 60, 61, 62, 63,
+       },
+       .oobfree = { {2, 5}, {16, 7}, {32, 7}, {48, 7} },
+};
+#endif
+#endif
 
 #ifdef CONFIG_MX27
 static int is_16bit_nand(void)
@@ -178,6 +265,17 @@ static int is_16bit_nand(void)
        else
                return 0;
 }
+#elif defined(CONFIG_MX25)
+static int is_16bit_nand(void)
+{
+       struct ccm_regs *ccm =
+               (struct ccm_regs *)IMX_CCM_BASE;
+
+       if (readl(&ccm->rcsr) & CCM_RCSR_NF_16BIT_SEL)
+               return 1;
+       else
+               return 0;
+}
 #else
 #warning "8/16 bit NAND autodetection not supported"
 static int is_16bit_nand(void)
@@ -258,7 +356,24 @@ static void send_addr(struct mxc_nand_host *host, uint16_t addr)
 static void send_prog_page(struct mxc_nand_host *host, uint8_t buf_id,
                        int spare_only)
 {
-       MTDDEBUG(MTD_DEBUG_LEVEL3, "send_prog_page (%d)\n", spare_only);
+       if (spare_only)
+               MTDDEBUG(MTD_DEBUG_LEVEL1, "send_prog_page (%d)\n", spare_only);
+
+       if (is_mxc_nfc_11()) {
+               int i;
+               /*
+                *  The controller copies the 64 bytes of spare data from
+                *  the first 16 bytes of each of the 4 64 byte spare buffers.
+                *  Copy the contiguous data starting in spare_area[0] to
+                *  the four spare area buffers.
+                */
+               for (i = 1; i < 4; i++) {
+                       void __iomem *src = &host->regs->spare_area[0][i * 16];
+                       void __iomem *dst = &host->regs->spare_area[i][0];
+
+                       mxc_nand_memcpy32(dst, src, 16);
+               }
+       }
 
        writew(buf_id, &host->regs->nfc_buf_addr);
 
@@ -303,6 +418,22 @@ static void send_read_page(struct mxc_nand_host *host, uint8_t buf_id,
 
        /* Wait for operation to complete */
        wait_op_done(host, TROP_US_DELAY, spare_only);
+
+       if (is_mxc_nfc_11()) {
+               int i;
+
+               /*
+                *  The controller copies the 64 bytes of spare data to
+                *  the first 16 bytes of each of the 4 spare buffers.
+                *  Make the data contiguous starting in spare_area[0].
+                */
+               for (i = 1; i < 4; i++) {
+                       void __iomem *src = &host->regs->spare_area[i][0];
+                       void __iomem *dst = &host->regs->spare_area[0][i * 16];
+
+                       mxc_nand_memcpy32(dst, src, 16);
+               }
+       }
 }
 
 /* Request the NANDFC to perform a read of the NAND device ID. */
@@ -330,7 +461,7 @@ static void send_read_id(struct mxc_nand_host *host)
  */
 static uint16_t get_dev_status(struct mxc_nand_host *host)
 {
-       void __iomem *main_buf = host->regs->main_area1;
+       void __iomem *main_buf = host->regs->main_area[1];
        uint32_t store;
        uint16_t ret, tmp;
        /* Issue status request to NAND device */
@@ -379,6 +510,330 @@ static void mxc_nand_enable_hwecc(struct mtd_info *mtd, int mode)
         */
 }
 
+#ifdef MXC_NFC_V1_1
+static void _mxc_nand_enable_hwecc(struct mtd_info *mtd, int on)
+{
+       struct nand_chip *nand_chip = mtd->priv;
+       struct mxc_nand_host *host = nand_chip->priv;
+       uint16_t tmp = readw(&host->regs->nfc_config1);
+
+       if (on)
+               tmp |= NFC_ECC_EN;
+       else
+               tmp &= ~NFC_ECC_EN;
+       writew(tmp, &host->regs->nfc_config1);
+}
+
+static int mxc_nand_read_oob_syndrome(struct mtd_info *mtd,
+                                     struct nand_chip *chip,
+                                     int page, int sndcmd)
+{
+       struct mxc_nand_host *host = chip->priv;
+       uint8_t *buf = chip->oob_poi;
+       int length = mtd->oobsize;
+       int eccpitch = chip->ecc.bytes + chip->ecc.prepad + chip->ecc.postpad;
+       uint8_t *bufpoi = buf;
+       int i, toread;
+
+       MTDDEBUG(MTD_DEBUG_LEVEL0,
+                       "%s: Reading OOB area of page %u to oob %p\n",
+                        __FUNCTION__, host->page_addr, buf);
+
+       chip->cmdfunc(mtd, NAND_CMD_READOOB, mtd->writesize, page);
+       for (i = 0; i < chip->ecc.steps; i++) {
+               toread = min_t(int, length, chip->ecc.prepad);
+               if (toread) {
+                       chip->read_buf(mtd, bufpoi, toread);
+                       bufpoi += toread;
+                       length -= toread;
+               }
+               bufpoi += chip->ecc.bytes;
+               host->col_addr += chip->ecc.bytes;
+               length -= chip->ecc.bytes;
+
+               toread = min_t(int, length, chip->ecc.postpad);
+               if (toread) {
+                       chip->read_buf(mtd, bufpoi, toread);
+                       bufpoi += toread;
+                       length -= toread;
+               }
+       }
+       if (length > 0)
+               chip->read_buf(mtd, bufpoi, length);
+
+       _mxc_nand_enable_hwecc(mtd, 0);
+       chip->cmdfunc(mtd, NAND_CMD_READOOB,
+                       mtd->writesize + chip->ecc.prepad, page);
+       bufpoi = buf + chip->ecc.prepad;
+       length = mtd->oobsize - chip->ecc.prepad;
+       for (i = 0; i < chip->ecc.steps; i++) {
+               toread = min_t(int, length, chip->ecc.bytes);
+               chip->read_buf(mtd, bufpoi, toread);
+               bufpoi += eccpitch;
+               length -= eccpitch;
+               host->col_addr += chip->ecc.postpad + chip->ecc.prepad;
+       }
+       _mxc_nand_enable_hwecc(mtd, 1);
+       return 1;
+}
+
+static int mxc_nand_read_page_raw_syndrome(struct mtd_info *mtd,
+                                          struct nand_chip *chip,
+                                          uint8_t *buf,
+                                          int page)
+{
+       struct mxc_nand_host *host = chip->priv;
+       int eccsize = chip->ecc.size;
+       int eccbytes = chip->ecc.bytes;
+       int eccpitch = eccbytes + chip->ecc.prepad + chip->ecc.postpad;
+       uint8_t *oob = chip->oob_poi;
+       int steps, size;
+       int n;
+
+       _mxc_nand_enable_hwecc(mtd, 0);
+       chip->cmdfunc(mtd, NAND_CMD_READ0, 0x00, host->page_addr);
+
+       for (n = 0, steps = chip->ecc.steps; steps > 0; n++, steps--) {
+               host->col_addr = n * eccsize;
+               chip->read_buf(mtd, buf, eccsize);
+               buf += eccsize;
+
+               host->col_addr = mtd->writesize + n * eccpitch;
+               if (chip->ecc.prepad) {
+                       chip->read_buf(mtd, oob, chip->ecc.prepad);
+                       oob += chip->ecc.prepad;
+               }
+
+               chip->read_buf(mtd, oob, eccbytes);
+               oob += eccbytes;
+
+               if (chip->ecc.postpad) {
+                       chip->read_buf(mtd, oob, chip->ecc.postpad);
+                       oob += chip->ecc.postpad;
+               }
+       }
+
+       size = mtd->oobsize - (oob - chip->oob_poi);
+       if (size)
+               chip->read_buf(mtd, oob, size);
+       _mxc_nand_enable_hwecc(mtd, 0);
+
+       return 0;
+}
+
+static int mxc_nand_read_page_syndrome(struct mtd_info *mtd,
+                                      struct nand_chip *chip,
+                                      uint8_t *buf,
+                                      int page)
+{
+       struct mxc_nand_host *host = chip->priv;
+       int n, eccsize = chip->ecc.size;
+       int eccbytes = chip->ecc.bytes;
+       int eccpitch = eccbytes + chip->ecc.prepad + chip->ecc.postpad;
+       int eccsteps = chip->ecc.steps;
+       uint8_t *p = buf;
+       uint8_t *oob = chip->oob_poi;
+
+       MTDDEBUG(MTD_DEBUG_LEVEL1, "Reading page %u to buf %p oob %p\n",
+             host->page_addr, buf, oob);
+
+       /* first read out the data area and the available portion of OOB */
+       for (n = 0; eccsteps; n++, eccsteps--, p += eccsize) {
+               int stat;
+
+               host->col_addr = n * eccsize;
+
+               chip->read_buf(mtd, p, eccsize);
+
+               host->col_addr = mtd->writesize + n * eccpitch;
+
+               if (chip->ecc.prepad) {
+                       chip->read_buf(mtd, oob, chip->ecc.prepad);
+                       oob += chip->ecc.prepad;
+               }
+
+               stat = chip->ecc.correct(mtd, p, oob, NULL);
+
+               if (stat < 0)
+                       mtd->ecc_stats.failed++;
+               else
+                       mtd->ecc_stats.corrected += stat;
+               oob += eccbytes;
+
+               if (chip->ecc.postpad) {
+                       chip->read_buf(mtd, oob, chip->ecc.postpad);
+                       oob += chip->ecc.postpad;
+               }
+       }
+
+       /* Calculate remaining oob bytes */
+       n = mtd->oobsize - (oob - chip->oob_poi);
+       if (n)
+               chip->read_buf(mtd, oob, n);
+
+       /* Then switch ECC off and read the OOB area to get the ECC code */
+       _mxc_nand_enable_hwecc(mtd, 0);
+       chip->cmdfunc(mtd, NAND_CMD_READOOB, mtd->writesize, host->page_addr);
+       eccsteps = chip->ecc.steps;
+       oob = chip->oob_poi + chip->ecc.prepad;
+       for (n = 0; eccsteps; n++, eccsteps--, p += eccsize) {
+               host->col_addr = mtd->writesize +
+                                n * eccpitch +
+                                chip->ecc.prepad;
+               chip->read_buf(mtd, oob, eccbytes);
+               oob += eccbytes + chip->ecc.postpad;
+       }
+       _mxc_nand_enable_hwecc(mtd, 1);
+       return 0;
+}
+
+static int mxc_nand_write_oob_syndrome(struct mtd_info *mtd,
+                                      struct nand_chip *chip, int page)
+{
+       struct mxc_nand_host *host = chip->priv;
+       int eccpitch = chip->ecc.bytes + chip->ecc.prepad + chip->ecc.postpad;
+       int length = mtd->oobsize;
+       int i, len, status, steps = chip->ecc.steps;
+       const uint8_t *bufpoi = chip->oob_poi;
+
+       chip->cmdfunc(mtd, NAND_CMD_SEQIN, mtd->writesize, page);
+       for (i = 0; i < steps; i++) {
+               len = min_t(int, length, eccpitch);
+
+               chip->write_buf(mtd, bufpoi, len);
+               bufpoi += len;
+               length -= len;
+               host->col_addr += chip->ecc.prepad + chip->ecc.postpad;
+       }
+       if (length > 0)
+               chip->write_buf(mtd, bufpoi, length);
+
+       chip->cmdfunc(mtd, NAND_CMD_PAGEPROG, -1, -1);
+       status = chip->waitfunc(mtd, chip);
+       return status & NAND_STATUS_FAIL ? -EIO : 0;
+}
+
+static void mxc_nand_write_page_raw_syndrome(struct mtd_info *mtd,
+                                            struct nand_chip *chip,
+                                            const uint8_t *buf)
+{
+       struct mxc_nand_host *host = chip->priv;
+       int eccsize = chip->ecc.size;
+       int eccbytes = chip->ecc.bytes;
+       int eccpitch = eccbytes + chip->ecc.prepad + chip->ecc.postpad;
+       uint8_t *oob = chip->oob_poi;
+       int steps, size;
+       int n;
+
+       for (n = 0, steps = chip->ecc.steps; steps > 0; n++, steps--) {
+               host->col_addr = n * eccsize;
+               chip->write_buf(mtd, buf, eccsize);
+               buf += eccsize;
+
+               host->col_addr = mtd->writesize + n * eccpitch;
+
+               if (chip->ecc.prepad) {
+                       chip->write_buf(mtd, oob, chip->ecc.prepad);
+                       oob += chip->ecc.prepad;
+               }
+
+               host->col_addr += eccbytes;
+               oob += eccbytes;
+
+               if (chip->ecc.postpad) {
+                       chip->write_buf(mtd, oob, chip->ecc.postpad);
+                       oob += chip->ecc.postpad;
+               }
+       }
+
+       size = mtd->oobsize - (oob - chip->oob_poi);
+       if (size)
+               chip->write_buf(mtd, oob, size);
+}
+
+static void mxc_nand_write_page_syndrome(struct mtd_info *mtd,
+                                        struct nand_chip *chip,
+                                        const uint8_t *buf)
+{
+       struct mxc_nand_host *host = chip->priv;
+       int i, n, eccsize = chip->ecc.size;
+       int eccbytes = chip->ecc.bytes;
+       int eccpitch = eccbytes + chip->ecc.prepad + chip->ecc.postpad;
+       int eccsteps = chip->ecc.steps;
+       const uint8_t *p = buf;
+       uint8_t *oob = chip->oob_poi;
+
+       chip->ecc.hwctl(mtd, NAND_ECC_WRITE);
+
+       for (i = n = 0;
+            eccsteps;
+            n++, eccsteps--, i += eccbytes, p += eccsize) {
+               host->col_addr = n * eccsize;
+
+               chip->write_buf(mtd, p, eccsize);
+
+               host->col_addr = mtd->writesize + n * eccpitch;
+
+               if (chip->ecc.prepad) {
+                       chip->write_buf(mtd, oob, chip->ecc.prepad);
+                       oob += chip->ecc.prepad;
+               }
+
+               chip->write_buf(mtd, oob, eccbytes);
+               oob += eccbytes;
+
+               if (chip->ecc.postpad) {
+                       chip->write_buf(mtd, oob, chip->ecc.postpad);
+                       oob += chip->ecc.postpad;
+               }
+       }
+
+       /* Calculate remaining oob bytes */
+       i = mtd->oobsize - (oob - chip->oob_poi);
+       if (i)
+               chip->write_buf(mtd, oob, i);
+}
+
+static int mxc_nand_correct_data(struct mtd_info *mtd, u_char *dat,
+                                u_char *read_ecc, u_char *calc_ecc)
+{
+       struct nand_chip *nand_chip = mtd->priv;
+       struct mxc_nand_host *host = nand_chip->priv;
+       uint16_t ecc_status = readw(&host->regs->nfc_ecc_status_result);
+       int subpages = mtd->writesize / nand_chip->subpagesize;
+       int pg2blk_shift = nand_chip->phys_erase_shift -
+                          nand_chip->page_shift;
+
+       do {
+               if ((ecc_status & 0xf) > 4) {
+                       static int last_bad = -1;
+
+                       if (last_bad != host->page_addr >> pg2blk_shift) {
+                               last_bad = host->page_addr >> pg2blk_shift;
+                               printk(KERN_DEBUG
+                                      "MXC_NAND: HWECC uncorrectable ECC error"
+                                      " in block %u page %u subpage %d\n",
+                                      last_bad, host->page_addr,
+                                      mtd->writesize / nand_chip->subpagesize
+                                           - subpages);
+                       }
+                       return -1;
+               }
+               ecc_status >>= 4;
+               subpages--;
+       } while (subpages > 0);
+
+       return 0;
+}
+#else
+#define mxc_nand_read_page_syndrome NULL
+#define mxc_nand_read_page_raw_syndrome NULL
+#define mxc_nand_read_oob_syndrome NULL
+#define mxc_nand_write_page_syndrome NULL
+#define mxc_nand_write_page_raw_syndrome NULL
+#define mxc_nand_write_oob_syndrome NULL
+#define mxc_nfc_11_nand_correct_data NULL
+
 static int mxc_nand_correct_data(struct mtd_info *mtd, u_char *dat,
                                 u_char *read_ecc, u_char *calc_ecc)
 {
@@ -400,6 +855,9 @@ static int mxc_nand_correct_data(struct mtd_info *mtd, u_char *dat,
 
        return 0;
 }
+#endif
+
+
 
 static int mxc_nand_calculate_ecc(struct mtd_info *mtd, const u_char *dat,
                                  u_char *ecc_code)
@@ -415,9 +873,9 @@ static u_char mxc_nand_read_byte(struct mtd_info *mtd)
        uint8_t ret = 0;
        uint16_t col;
        uint16_t __iomem *main_buf =
-               (uint16_t __iomem *)host->regs->main_area0;
+               (uint16_t __iomem *)host->regs->main_area[0];
        uint16_t __iomem *spare_buf =
-               (uint16_t __iomem *)host->regs->spare_area0;
+               (uint16_t __iomem *)host->regs->spare_area[0];
        union {
                uint16_t word;
                uint8_t bytes[2];
@@ -464,9 +922,10 @@ static uint16_t mxc_nand_read_word(struct mtd_info *mtd)
                col += mtd->writesize;
 
        if (col < mtd->writesize) {
-               p = (uint16_t __iomem *)(host->regs->main_area0 + (col >> 1));
+               p = (uint16_t __iomem *)(host->regs->main_area[0] +
+                               (col >> 1));
        } else {
-               p = (uint16_t __iomem *)(host->regs->spare_area0 +
+               p = (uint16_t __iomem *)(host->regs->spare_area[0] +
                                ((col - mtd->writesize) >> 1));
        }
 
@@ -525,9 +984,9 @@ static void mxc_nand_write_buf(struct mtd_info *mtd,
                void __iomem *p;
 
                if (col < mtd->writesize) {
-                       p = host->regs->main_area0 + (col & ~3);
+                       p = host->regs->main_area[0] + (col & ~3);
                } else {
-                       p = host->regs->spare_area0 -
+                       p = host->regs->spare_area[0] -
                                                mtd->writesize + (col & ~3);
                }
 
@@ -595,9 +1054,9 @@ static void mxc_nand_read_buf(struct mtd_info *mtd, u_char *buf, int len)
                void __iomem *p;
 
                if (col < mtd->writesize) {
-                       p = host->regs->main_area0 + (col & ~3);
+                       p = host->regs->main_area[0] + (col & ~3);
                } else {
-                       p = host->regs->spare_area0 -
+                       p = host->regs->spare_area[0] -
                                        mtd->writesize + (col & ~3);
                }
 
@@ -683,7 +1142,7 @@ static void mxc_nand_select_chip(struct mtd_info *mtd, int chip)
  * Used by the upper layer to write command to NAND Flash for
  * different operations to be carried out on NAND Flash
  */
-static void mxc_nand_command(struct mtd_info *mtd, unsigned command,
+void mxc_nand_command(struct mtd_info *mtd, unsigned command,
                                int column, int page_addr)
 {
        struct nand_chip *nand_chip = mtd->priv;
@@ -705,6 +1164,7 @@ static void mxc_nand_command(struct mtd_info *mtd, unsigned command,
                break;
 
        case NAND_CMD_READ0:
+               host->page_addr = page_addr;
                host->col_addr = column;
                host->spare_only = false;
                break;
@@ -750,7 +1210,7 @@ static void mxc_nand_command(struct mtd_info *mtd, unsigned command,
        case NAND_CMD_PAGEPROG:
                send_prog_page(host, 0, host->spare_only);
 
-               if (host->pagesize_2k) {
+               if (host->pagesize_2k && !is_mxc_nfc_11()) {
                        /* data in 4 areas datas */
                        send_prog_page(host, 1, host->spare_only);
                        send_prog_page(host, 2, host->spare_only);
@@ -780,30 +1240,12 @@ static void mxc_nand_command(struct mtd_info *mtd, unsigned command,
 
        /* Write out page address, if necessary */
        if (page_addr != -1) {
-               /* paddr_0 - p_addr_7 */
-               send_addr(host, (page_addr & 0xff));
-
-               if (host->pagesize_2k) {
-                       send_addr(host, (page_addr >> 8) & 0xFF);
-                       if (mtd->size >= 0x10000000) {
-                               /* paddr_8 - paddr_15 */
-                               send_addr(host, (page_addr >> 8) & 0xff);
-                               send_addr(host, (page_addr >> 16) & 0xff);
-                       } else {
-                               /* paddr_8 - paddr_15 */
-                               send_addr(host, (page_addr >> 8) & 0xff);
-                       }
-               } else {
-                       /* One more address cycle for higher density devices */
-                       if (mtd->size >= 0x4000000) {
-                               /* paddr_8 - paddr_15 */
-                               send_addr(host, (page_addr >> 8) & 0xff);
-                               send_addr(host, (page_addr >> 16) & 0xff);
-                       } else {
-                               /* paddr_8 - paddr_15 */
-                               send_addr(host, (page_addr >> 8) & 0xff);
-                       }
-               }
+               u32 page_mask = nand_chip->pagemask;
+               do {
+                       send_addr(host, page_addr & 0xFF);
+                       page_addr >>= 8;
+                       page_mask >>= 8;
+               } while (page_mask);
        }
 
        /* Command post-processing step */
@@ -819,9 +1261,11 @@ static void mxc_nand_command(struct mtd_info *mtd, unsigned command,
                        send_cmd(host, NAND_CMD_READSTART);
                        /* read for each AREA */
                        send_read_page(host, 0, host->spare_only);
-                       send_read_page(host, 1, host->spare_only);
-                       send_read_page(host, 2, host->spare_only);
-                       send_read_page(host, 3, host->spare_only);
+                       if (!is_mxc_nfc_11()) {
+                               send_read_page(host, 1, host->spare_only);
+                               send_read_page(host, 2, host->spare_only);
+                               send_read_page(host, 3, host->spare_only);
+                       }
                } else {
                        send_read_page(host, 0, host->spare_only);
                }
@@ -843,6 +1287,24 @@ static void mxc_nand_command(struct mtd_info *mtd, unsigned command,
        }
 }
 
+#ifdef MXC_NFC_V1_1
+static void mxc_setup_config1(void)
+{
+       uint16_t tmp;
+
+       tmp = readw(&host->regs->nfc_config1);
+       tmp |= NFC_ONE_CYCLE;
+       tmp |= NFC_4_8N_ECC;
+       writew(tmp, &host->regs->nfc_config1);
+       if (host->pagesize_2k)
+               writew(64/2, &host->regs->nfc_spare_area_size);
+       else
+               writew(16/2, &host->regs->nfc_spare_area_size);
+}
+#else
+#define mxc_setup_config1()
+#endif
+
 int board_nand_init(struct nand_chip *this)
 {
        struct mtd_info *mtd;
@@ -874,10 +1336,23 @@ int board_nand_init(struct nand_chip *this)
        this->ecc.calculate = mxc_nand_calculate_ecc;
        this->ecc.hwctl = mxc_nand_enable_hwecc;
        this->ecc.correct = mxc_nand_correct_data;
-       this->ecc.mode = NAND_ECC_HW;
+       if (is_mxc_nfc_11()) {
+               this->ecc.mode = NAND_ECC_HW_SYNDROME;
+               this->ecc.read_page = mxc_nand_read_page_syndrome;
+               this->ecc.read_page_raw = mxc_nand_read_page_raw_syndrome;
+               this->ecc.read_oob = mxc_nand_read_oob_syndrome;
+               this->ecc.write_page = mxc_nand_write_page_syndrome;
+               this->ecc.write_page_raw = mxc_nand_write_page_raw_syndrome;
+               this->ecc.write_oob = mxc_nand_write_oob_syndrome;
+               this->ecc.bytes = 9;
+               this->ecc.prepad = 7;
+       } else {
+               this->ecc.mode = NAND_ECC_HW;
+       }
+
+       host->pagesize_2k = 0;
+
        this->ecc.size = 512;
-       this->ecc.bytes = 3;
-       this->ecc.layout = &nand_hw_eccoob;
        tmp = readw(&host->regs->nfc_config1);
        tmp |= NFC_ECC_EN;
        writew(tmp, &host->regs->nfc_config1);
@@ -888,7 +1363,6 @@ int board_nand_init(struct nand_chip *this)
        tmp &= ~NFC_ECC_EN;
        writew(tmp, &host->regs->nfc_config1);
 #endif
-
        /* Reset NAND */
        this->cmdfunc(mtd, NAND_CMD_RESET, -1, -1);
 
@@ -911,10 +1385,11 @@ int board_nand_init(struct nand_chip *this)
 
 #ifdef CONFIG_SYS_NAND_LARGEPAGE
        host->pagesize_2k = 1;
-       this->ecc.layout = &nand_hw_eccoob_largepage;
+       this->ecc.layout = &nand_hw_eccoob2k;
 #else
        host->pagesize_2k = 0;
+       this->ecc.layout = &nand_hw_eccoob;
 #endif
-
+       mxc_setup_config1();
        return err;
 }
index 904727e1bfed1ed6df9b545a3af17756f6a7930e..1ec0ba13a6d8dc41cc8ea35689d015df86927e30 100644 (file)
@@ -27,6 +27,7 @@ LIB   := $(obj)libnet.a
 
 COBJS-$(CONFIG_DRIVER_3C589) += 3c589.o
 COBJS-$(CONFIG_PPC4xx_EMAC) += 4xx_enet.o
+COBJS-$(CONFIG_DRIVER_AT91EMAC) += at91_emac.o
 COBJS-$(CONFIG_DRIVER_AX88180) += ax88180.o
 COBJS-$(CONFIG_BCM570x) += bcm570x.o bcm570x_autoneg.o 5701rls.o
 COBJS-$(CONFIG_BFIN_MAC) += bfin_mac.o
@@ -37,6 +38,7 @@ COBJS-$(CONFIG_DNET) += dnet.o
 COBJS-$(CONFIG_E1000) += e1000.o
 COBJS-$(CONFIG_EEPRO100) += eepro100.o
 COBJS-$(CONFIG_ENC28J60) += enc28j60.o
+COBJS-$(CONFIG_EP93XX) += ep93xx_eth.o
 COBJS-$(CONFIG_FEC_MXC) += fec_mxc.o
 COBJS-$(CONFIG_FSLDMAFEC) += fsl_mcdmafec.o mcfmii.o
 COBJS-$(CONFIG_FTMAC100) += ftmac100.o
diff --git a/drivers/net/at91_emac.c b/drivers/net/at91_emac.c
new file mode 100644 (file)
index 0000000..2399569
--- /dev/null
@@ -0,0 +1,498 @@
+/*
+ * Copyright (C) 2009 BuS Elektronik GmbH & Co. KG
+ * Jens Scharsig (esw@bus-elektronik.de)
+ *
+ * (C) Copyright 2003
+ * Author : Hamid Ikdoumi (Atmel)
+
+ * See file CREDITS for list of people who contributed to this
+ * project.
+ *
+ * This program is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU General Public License as
+ * published by the Free Software Foundation; either version 2 of
+ * the License, or (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
+ * MA 02111-1307 USA
+ */
+
+#include <common.h>
+#include <asm/io.h>
+#ifndef CONFIG_AT91_LEGACY
+#include <asm/arch/hardware.h>
+#include <asm/arch/at91_emac.h>
+#include <asm/arch/at91_pmc.h>
+#include <asm/arch/at91_pio.h>
+#else
+/* remove next 5 lines, if all RM9200 boards convert to at91 arch */
+#include <asm/arch-at91/at91rm9200.h>
+#include <asm/arch-at91/hardware.h>
+#include <asm/arch-at91/at91_emac.h>
+#include <asm/arch-at91/at91_pmc.h>
+#include <asm/arch-at91/at91_pio.h>
+#endif
+#include <net.h>
+#include <netdev.h>
+#include <malloc.h>
+#include <miiphy.h>
+#include <linux/mii.h>
+
+#undef MII_DEBUG
+#undef ET_DEBUG
+
+#if (CONFIG_SYS_RX_ETH_BUFFER > 1024)
+#error AT91 EMAC supports max 1024 RX buffers. \
+       Please decrease the CONFIG_SYS_RX_ETH_BUFFER value
+#endif
+
+/* MDIO clock must not exceed 2.5 MHz, so enable MCK divider */
+#if (AT91C_MASTER_CLOCK > 80000000)
+       #define HCLK_DIV        AT91_EMAC_CFG_MCLK_64
+#elif (AT91C_MASTER_CLOCK > 40000000)
+       #define HCLK_DIV        AT91_EMAC_CFG_MCLK_32
+#elif (AT91C_MASTER_CLOCK > 20000000)
+       #define HCLK_DIV        AT91_EMAC_CFG_MCLK_16
+#else
+       #define HCLK_DIV        AT91_EMAC_CFG_MCLK_8
+#endif
+
+#ifdef ET_DEBUG
+#define DEBUG_AT91EMAC(...)    printf(__VA_ARGS__);
+#else
+#define DEBUG_AT91EMAC(...)
+#endif
+
+#ifdef MII_DEBUG
+#define DEBUG_AT91PHY(...)     printf(__VA_ARGS__);
+#else
+#define DEBUG_AT91PHY(...)
+#endif
+
+#ifndef CONFIG_DRIVER_AT91EMAC_QUIET
+#define VERBOSEP(...)  printf(__VA_ARGS__);
+#else
+#define VERBOSEP(...)
+#endif
+
+#define RBF_ADDR      0xfffffffc
+#define RBF_OWNER     (1<<0)
+#define RBF_WRAP      (1<<1)
+#define RBF_BROADCAST (1<<31)
+#define RBF_MULTICAST (1<<30)
+#define RBF_UNICAST   (1<<29)
+#define RBF_EXTERNAL  (1<<28)
+#define RBF_UNKOWN    (1<<27)
+#define RBF_SIZE      0x07ff
+#define RBF_LOCAL4    (1<<26)
+#define RBF_LOCAL3    (1<<25)
+#define RBF_LOCAL2    (1<<24)
+#define RBF_LOCAL1    (1<<23)
+
+#define RBF_FRAMEMAX CONFIG_SYS_RX_ETH_BUFFER
+#define RBF_FRAMELEN 0x600
+
+typedef struct {
+       unsigned long addr, size;
+} rbf_t;
+
+typedef struct {
+       rbf_t           rbfdt[RBF_FRAMEMAX];
+       unsigned long   rbindex;
+} emac_device;
+
+void at91emac_EnableMDIO(at91_emac_t *at91mac)
+{
+       /* Mac CTRL reg set for MDIO enable */
+       writel(readl(&at91mac->ctl) | AT91_EMAC_CTL_MPE, &at91mac->ctl);
+}
+
+void at91emac_DisableMDIO(at91_emac_t *at91mac)
+{
+       /* Mac CTRL reg set for MDIO disable */
+       writel(readl(&at91mac->ctl) & ~AT91_EMAC_CTL_MPE, &at91mac->ctl);
+}
+
+int  at91emac_read(at91_emac_t *at91mac, unsigned char addr,
+               unsigned char reg, unsigned short *value)
+{
+       at91emac_EnableMDIO(at91mac);
+
+       writel(AT91_EMAC_MAN_HIGH | AT91_EMAC_MAN_RW_R |
+               AT91_EMAC_MAN_REGA(reg) | AT91_EMAC_MAN_CODE_802_3 |
+               AT91_EMAC_MAN_PHYA(addr),
+               &at91mac->man);
+       udelay(10000);
+       *value = readl(&at91mac->man) & AT91_EMAC_MAN_DATA_MASK;
+
+       at91emac_DisableMDIO(at91mac);
+
+       DEBUG_AT91PHY("AT91PHY read %x REG(%d)=%x\n", at91mac, reg, *value)
+
+       return 0;
+}
+
+int  at91emac_write(at91_emac_t *at91mac, unsigned char addr,
+               unsigned char reg, unsigned short value)
+{
+       DEBUG_AT91PHY("AT91PHY write %x REG(%d)=%x\n", at91mac, reg, &value)
+
+       at91emac_EnableMDIO(at91mac);
+
+       writel(AT91_EMAC_MAN_HIGH | AT91_EMAC_MAN_RW_W |
+               AT91_EMAC_MAN_REGA(reg) | AT91_EMAC_MAN_CODE_802_3 |
+               AT91_EMAC_MAN_PHYA(addr) | (value & AT91_EMAC_MAN_DATA_MASK),
+               &at91mac->man);
+       udelay(10000);
+
+       at91emac_DisableMDIO(at91mac);
+       return 0;
+}
+
+#if defined(CONFIG_MII) || defined(CONFIG_CMD_MII)
+
+at91_emac_t *get_emacbase_by_name(char *devname)
+{
+       struct eth_device *netdev;
+
+       netdev = eth_get_dev_by_name(devname);
+       return (at91_emac_t *) netdev->iobase;
+}
+
+int  at91emac_mii_read(char *devname, unsigned char addr,
+               unsigned char reg, unsigned short *value)
+{
+       at91_emac_t *emac;
+
+       emac = get_emacbase_by_name(devname);
+       at91emac_read(emac , addr, reg, value);
+       return 0;
+}
+
+
+int  at91emac_mii_write(char *devname, unsigned char addr,
+               unsigned char reg, unsigned short value)
+{
+       at91_emac_t *emac;
+
+       emac = get_emacbase_by_name(devname);
+       at91emac_write(emac, addr, reg, value);
+       return 0;
+}
+
+#endif
+
+static int at91emac_phy_reset(struct eth_device *netdev)
+{
+       int i;
+       u16 status, adv;
+       at91_emac_t *emac;
+
+       emac = (at91_emac_t *) netdev->iobase;
+
+       adv = ADVERTISE_CSMA | ADVERTISE_ALL;
+       at91emac_write(emac, 0, MII_ADVERTISE, adv);
+       VERBOSEP("%s: Starting autonegotiation...\n", netdev->name);
+       at91emac_write(emac, 0, MII_BMCR, (BMCR_ANENABLE | BMCR_ANRESTART));
+
+       for (i = 0; i < 100000 / 100; i++) {
+               at91emac_read(emac, 0, MII_BMSR, &status);
+               if (status & BMSR_ANEGCOMPLETE)
+                       break;
+               udelay(100);
+       }
+
+       if (status & BMSR_ANEGCOMPLETE) {
+               VERBOSEP("%s: Autonegotiation complete\n", netdev->name);
+       } else {
+               printf("%s: Autonegotiation timed out (status=0x%04x)\n",
+                      netdev->name, status);
+               return 1;
+       }
+       return 0;
+}
+
+static int at91emac_phy_init(struct eth_device *netdev)
+{
+       u16 phy_id, status, adv, lpa;
+       int media, speed, duplex;
+       int i;
+       at91_emac_t *emac;
+
+       emac = (at91_emac_t *) netdev->iobase;
+
+       /* Check if the PHY is up to snuff... */
+       at91emac_read(emac, 0, MII_PHYSID1, &phy_id);
+       if (phy_id == 0xffff) {
+               printf("%s: No PHY present\n", netdev->name);
+               return 1;
+       }
+
+       at91emac_read(emac, 0, MII_BMSR, &status);
+
+       if (!(status & BMSR_LSTATUS)) {
+               /* Try to re-negotiate if we don't have link already. */
+               if (at91emac_phy_reset(netdev))
+                       return 2;
+
+               for (i = 0; i < 100000 / 100; i++) {
+                       at91emac_read(emac, 0, MII_BMSR, &status);
+                       if (status & BMSR_LSTATUS)
+                               break;
+                       udelay(100);
+               }
+       }
+       if (!(status & BMSR_LSTATUS)) {
+               VERBOSEP("%s: link down\n", netdev->name);
+               return 3;
+       } else {
+               at91emac_read(emac, 0, MII_ADVERTISE, &adv);
+               at91emac_read(emac, 0, MII_LPA, &lpa);
+               media = mii_nway_result(lpa & adv);
+               speed = (media & (ADVERTISE_100FULL | ADVERTISE_100HALF)
+                        ? 1 : 0);
+               duplex = (media & ADVERTISE_FULL) ? 1 : 0;
+               VERBOSEP("%s: link up, %sMbps %s-duplex\n",
+                      netdev->name,
+                      speed ? "100" : "10",
+                      duplex ? "full" : "half");
+       }
+       return 0;
+}
+
+int at91emac_UpdateLinkSpeed(at91_emac_t *emac)
+{
+       unsigned short stat1;
+
+       at91emac_read(emac, 0, MII_BMSR, &stat1);
+
+       if (!(stat1 & BMSR_LSTATUS))    /* link status up? */
+               return 1;
+
+       if (stat1 & BMSR_100FULL) {
+               /*set Emac for 100BaseTX and Full Duplex  */
+               writel(readl(&emac->cfg) |
+                       AT91_EMAC_CFG_SPD | AT91_EMAC_CFG_FD,
+                       &emac->cfg);
+               return 0;
+       }
+
+       if (stat1 & BMSR_10FULL) {
+               /*set MII for 10BaseT and Full Duplex  */
+               writel((readl(&emac->cfg) &
+                       ~(AT91_EMAC_CFG_SPD | AT91_EMAC_CFG_FD)
+                       ) | AT91_EMAC_CFG_FD,
+                       &emac->cfg);
+               return 0;
+       }
+
+       if (stat1 & BMSR_100HALF) {
+               /*set MII for 100BaseTX and Half Duplex  */
+               writel((readl(&emac->cfg) &
+                       ~(AT91_EMAC_CFG_SPD | AT91_EMAC_CFG_FD)
+                       ) | AT91_EMAC_CFG_SPD,
+                       &emac->cfg);
+               return 0;
+       }
+
+       if (stat1 & BMSR_10HALF) {
+               /*set MII for 10BaseT and Half Duplex  */
+               writel((readl(&emac->cfg) &
+                       ~(AT91_EMAC_CFG_SPD | AT91_EMAC_CFG_FD)),
+                       &emac->cfg);
+               return 0;
+       }
+       return 1;
+}
+
+static int at91emac_init(struct eth_device *netdev, bd_t *bd)
+{
+       int i;
+       u32 value;
+       emac_device *dev;
+       at91_emac_t *emac;
+       at91_pio_t *pio = (at91_pio_t *) AT91_PIO_BASE;
+       at91_pmc_t *pmc = (at91_pmc_t *) AT91_PMC_BASE;
+
+       emac = (at91_emac_t *) netdev->iobase;
+       dev = (emac_device *) netdev->priv;
+
+       /* PIO Disable Register */
+       value = AT91_PMX_AA_EMDIO |     AT91_PMX_AA_EMDC |
+               AT91_PMX_AA_ERXER |     AT91_PMX_AA_ERX1 |
+               AT91_PMX_AA_ERX0 |      AT91_PMX_AA_ECRS |
+               AT91_PMX_AA_ETX1 |      AT91_PMX_AA_ETX0 |
+               AT91_PMX_AA_ETXEN |     AT91_PMX_AA_EREFCK;
+
+       writel(value, &pio->pioa.pdr);
+       writel(value, &pio->pioa.asr);
+
+#ifdef CONFIG_RMII
+       value = AT91_PMX_BA_ERXCK;
+#else
+       value = AT91_PMX_BA_ERXCK |     AT91_PMX_BA_ECOL |
+               AT91_PMX_BA_ERXDV |     AT91_PMX_BA_ERX3 |
+               AT91_PMX_BA_ERX2 |      AT91_PMX_BA_ETXER |
+               AT91_PMX_BA_ETX3 |      AT91_PMX_BA_ETX2;
+#endif
+       writel(value, &pio->piob.pdr);
+       writel(value, &pio->piob.bsr);
+
+       writel(1 << AT91_ID_EMAC, &pmc->pcer);
+       writel(readl(&emac->ctl) | AT91_EMAC_CTL_CSR, &emac->ctl);
+
+       DEBUG_AT91EMAC("init MAC-ADDR %x%x \n",
+               cpu_to_le16(*((u16 *)(netdev->enetaddr + 4))),
+               cpu_to_le32(*((u32 *)netdev->enetaddr)));
+       writel(cpu_to_le32(*((u32 *)netdev->enetaddr)), &emac->sa2l);
+       writel(cpu_to_le16(*((u16 *)(netdev->enetaddr + 4))), &emac->sa2h);
+       DEBUG_AT91EMAC("init MAC-ADDR %x%x \n",
+               readl(&emac->sa2h), readl(&emac->sa2l));
+
+       /* Init Ethernet buffers */
+       for (i = 0; i < RBF_FRAMEMAX; i++) {
+               dev->rbfdt[i].addr = (unsigned long) NetRxPackets[i];
+               dev->rbfdt[i].size = 0;
+       }
+       dev->rbfdt[RBF_FRAMEMAX - 1].addr |= RBF_WRAP;
+       dev->rbindex = 0;
+       writel((u32) &(dev->rbfdt[0]), &emac->rbqp);
+
+       writel(readl(&emac->rsr) &
+               ~(AT91_EMAC_RSR_OVR | AT91_EMAC_RSR_REC | AT91_EMAC_RSR_BNA),
+               &emac->rsr);
+
+       value = AT91_EMAC_CFG_CAF |     AT91_EMAC_CFG_NBC |
+               HCLK_DIV;
+#ifdef CONFIG_RMII
+       value |= AT91C_EMAC_RMII;
+#endif
+       writel(value, &emac->cfg);
+
+       writel(readl(&emac->ctl) | AT91_EMAC_CTL_TE | AT91_EMAC_CTL_RE,
+               &emac->ctl);
+
+       if (!at91emac_phy_init(netdev)) {
+               at91emac_UpdateLinkSpeed(emac);
+               return 0;
+       }
+       return 1;
+}
+
+static void at91emac_halt(struct eth_device *netdev)
+{
+       at91_emac_t *emac;
+
+       emac = (at91_emac_t *) netdev->iobase;
+       writel(readl(&emac->ctl) & ~(AT91_EMAC_CTL_TE | AT91_EMAC_CTL_RE),
+               &emac->ctl);
+       DEBUG_AT91EMAC("halt MAC\n");
+}
+
+static int at91emac_send(struct eth_device *netdev, volatile void *packet,
+                    int length)
+{
+       at91_emac_t *emac;
+
+       emac = (at91_emac_t *) netdev->iobase;
+
+       while (!(readl(&emac->tsr) & AT91_EMAC_TSR_BNQ))
+               ;
+       writel((u32) packet, &emac->tar);
+       writel(AT91_EMAC_TCR_LEN(length), &emac->tcr);
+       while (AT91_EMAC_TCR_LEN(readl(&emac->tcr)))
+               ;
+       DEBUG_AT91EMAC("Send %d \n", length);
+       writel(readl(&emac->tsr) | AT91_EMAC_TSR_COMP, &emac->tsr);
+       return 0;
+}
+
+static int at91emac_recv(struct eth_device *netdev)
+{
+       emac_device *dev;
+       at91_emac_t *emac;
+       rbf_t *rbfp;
+       int size;
+
+       emac = (at91_emac_t *) netdev->iobase;
+       dev = (emac_device *) netdev->priv;
+
+       rbfp = &dev->rbfdt[dev->rbindex];
+       while (rbfp->addr & RBF_OWNER)  {
+               size = rbfp->size & RBF_SIZE;
+               NetReceive(NetRxPackets[dev->rbindex], size);
+
+               DEBUG_AT91EMAC("Recv[%d]: %d bytes @ %x \n",
+                       dev->rbindex, size, rbfp->addr);
+
+               rbfp->addr &= ~RBF_OWNER;
+               rbfp->size = 0;
+               if (dev->rbindex < (RBF_FRAMEMAX-1))
+                       dev->rbindex++;
+               else
+                       dev->rbindex = 0;
+
+               rbfp = &(dev->rbfdt[dev->rbindex]);
+               if (!(rbfp->addr & RBF_OWNER))
+                       writel(readl(&emac->rsr) | AT91_EMAC_RSR_REC,
+                               &emac->rsr);
+       }
+
+       if (readl(&emac->isr) & AT91_EMAC_IxR_RBNA) {
+               /* EMAC silicon bug 41.3.1 workaround 1 */
+               writel(readl(&emac->ctl) & ~AT91_EMAC_CTL_RE, &emac->ctl);
+               writel(readl(&emac->ctl) | AT91_EMAC_CTL_RE, &emac->ctl);
+               dev->rbindex = 0;
+               printf("%s: reset receiver (EMAC dead lock bug)\n",
+                       netdev->name);
+       }
+       return 0;
+}
+
+int at91emac_register(bd_t *bis, unsigned long iobase)
+{
+       emac_device *emac;
+       emac_device *emacfix;
+       struct eth_device *dev;
+
+       if (iobase == 0)
+               iobase = AT91_EMAC_BASE;
+       emac = malloc(sizeof(*emac)+512);
+       if (emac == NULL)
+               return 1;
+       dev = malloc(sizeof(*dev));
+       if (dev == NULL) {
+               free(emac);
+               return 1;
+       }
+       /* alignment as per Errata (64 bytes) is insufficient! */
+       emacfix = (emac_device *) (((unsigned long) emac + 0x1ff) & 0xFFFFFE00);
+       memset(emacfix, 0, sizeof(emac_device));
+
+       memset(dev, 0, sizeof(*dev));
+#ifndef CONFIG_RMII
+       sprintf(dev->name, "AT91 EMAC");
+#else
+       sprintf(dev->name, "AT91 EMAC RMII");
+#endif
+       dev->iobase = iobase;
+       dev->priv = emacfix;
+       dev->init = at91emac_init;
+       dev->halt = at91emac_halt;
+       dev->send = at91emac_send;
+       dev->recv = at91emac_recv;
+
+       eth_register(dev);
+
+#if defined(CONFIG_MII) || defined(CONFIG_CMD_MII)
+       miiphy_register(dev->name, at91emac_mii_read, at91emac_mii_write);
+#endif
+       return 1;
+}
index df360042be8d887b83d6eee98869026395d9741b..9424fb2bb69cc697ea0fb3ab1a1dc54f511d7aec 100644 (file)
@@ -308,14 +308,13 @@ int cs8900_initialize(u8 dev_num, int base_addr)
 
        dev = malloc(sizeof(*dev));
        if (!dev) {
-               free(dev);
                return 0;
        }
        memset(dev, 0, sizeof(*dev));
 
        priv = malloc(sizeof(*priv));
        if (!priv) {
-               free(priv);
+               free(dev);
                return 0;
        }
        memset(priv, 0, sizeof(*priv));
index fa8cee4d2ef20183c1c38c6c744a92444016dd8a..02bbb8c0afff033216ab25ad66c93b51d85ad38a 100644 (file)
 #include <miiphy.h>
 #include <malloc.h>
 #include <asm/arch/emac_defs.h>
+#include <asm/io.h>
 
 unsigned int   emac_dbg = 0;
 #define debug_emac(fmt,args...)        if (emac_dbg) printf(fmt,##args)
 
+#ifdef DAVINCI_EMAC_GIG_ENABLE
+#define emac_gigabit_enable()  davinci_eth_gigabit_enable()
+#else
+#define emac_gigabit_enable()  /* no gigabit to enable */
+#endif
+
 static void davinci_eth_mdio_enable(void);
 
 static int gen_init_phy(int phy_addr);
@@ -99,12 +106,14 @@ static void davinci_eth_mdio_enable(void)
 
        clkdiv = (EMAC_MDIO_BUS_FREQ / EMAC_MDIO_CLOCK_FREQ) - 1;
 
-       adap_mdio->CONTROL = (clkdiv & 0xff) |
-               MDIO_CONTROL_ENABLE |
-               MDIO_CONTROL_FAULT |
-               MDIO_CONTROL_FAULT_ENABLE;
+       writel((clkdiv & 0xff) |
+              MDIO_CONTROL_ENABLE |
+              MDIO_CONTROL_FAULT |
+              MDIO_CONTROL_FAULT_ENABLE,
+              &adap_mdio->CONTROL);
 
-       while (adap_mdio->CONTROL & MDIO_CONTROL_IDLE) {;}
+       while (readl(&adap_mdio->CONTROL) & MDIO_CONTROL_IDLE)
+               ;
 }
 
 /*
@@ -119,7 +128,8 @@ static int davinci_eth_phy_detect(void)
 
        active_phy_addr = 0xff;
 
-       if ((phy_act_state = adap_mdio->ALIVE) == 0)
+       phy_act_state = readl(&adap_mdio->ALIVE) & EMAC_MDIO_PHY_MASK;
+       if (phy_act_state == 0)
                return(0);                              /* No active PHYs */
 
        debug_emac("davinci_eth_phy_detect(), ALIVE = 0x%08x\n", phy_act_state);
@@ -144,15 +154,18 @@ int davinci_eth_phy_read(u_int8_t phy_addr, u_int8_t reg_num, u_int16_t *data)
 {
        int     tmp;
 
-       while (adap_mdio->USERACCESS0 & MDIO_USERACCESS0_GO) {;}
+       while (readl(&adap_mdio->USERACCESS0) & MDIO_USERACCESS0_GO)
+               ;
 
-       adap_mdio->USERACCESS0 = MDIO_USERACCESS0_GO |
-                               MDIO_USERACCESS0_WRITE_READ |
-                               ((reg_num & 0x1f) << 21) |
-                               ((phy_addr & 0x1f) << 16);
+       writel(MDIO_USERACCESS0_GO |
+              MDIO_USERACCESS0_WRITE_READ |
+              ((reg_num & 0x1f) << 21) |
+              ((phy_addr & 0x1f) << 16),
+              &adap_mdio->USERACCESS0);
 
        /* Wait for command to complete */
-       while ((tmp = adap_mdio->USERACCESS0) & MDIO_USERACCESS0_GO) {;}
+       while ((tmp = readl(&adap_mdio->USERACCESS0)) & MDIO_USERACCESS0_GO)
+               ;
 
        if (tmp & MDIO_USERACCESS0_ACK) {
                *data = tmp & 0xffff;
@@ -167,16 +180,19 @@ int davinci_eth_phy_read(u_int8_t phy_addr, u_int8_t reg_num, u_int16_t *data)
 int davinci_eth_phy_write(u_int8_t phy_addr, u_int8_t reg_num, u_int16_t data)
 {
 
-       while (adap_mdio->USERACCESS0 & MDIO_USERACCESS0_GO) {;}
+       while (readl(&adap_mdio->USERACCESS0) & MDIO_USERACCESS0_GO)
+               ;
 
-       adap_mdio->USERACCESS0 = MDIO_USERACCESS0_GO |
-                               MDIO_USERACCESS0_WRITE_WRITE |
-                               ((reg_num & 0x1f) << 21) |
-                               ((phy_addr & 0x1f) << 16) |
-                               (data & 0xffff);
+       writel(MDIO_USERACCESS0_GO |
+              MDIO_USERACCESS0_WRITE_WRITE |
+              ((reg_num & 0x1f) << 21) |
+              ((phy_addr & 0x1f) << 16) |
+              (data & 0xffff),
+              &adap_mdio->USERACCESS0);
 
        /* Wait for command to complete */
-       while (adap_mdio->USERACCESS0 & MDIO_USERACCESS0_GO) {;}
+       while (readl(&adap_mdio->USERACCESS0) & MDIO_USERACCESS0_GO)
+               ;
 
        return(1);
 }
@@ -245,9 +261,24 @@ static int davinci_mii_phy_write(char *devname, unsigned char addr, unsigned cha
 {
        return(davinci_eth_phy_write(addr, reg, value) ? 0 : 1);
 }
-
 #endif
 
+static void  __attribute__((unused)) davinci_eth_gigabit_enable(void)
+{
+       u_int16_t data;
+
+       if (davinci_eth_phy_read(EMAC_MDIO_PHY_NUM, 0, &data)) {
+               if (data & (1 << 6)) { /* speed selection MSB */
+                       /*
+                        * Check if link detected is giga-bit
+                        * If Gigabit mode detected, enable gigbit in MAC
+                        */
+                       writel(EMAC_MACCONTROL_GIGFORCE |
+                              EMAC_MACCONTROL_GIGABIT_ENABLE,
+                              &adap_emac->MACCONTROL);
+               }
+       }
+}
 
 /* Eth device open */
 static int davinci_eth_open(struct eth_device *dev, bd_t *bis)
@@ -255,64 +286,73 @@ static int davinci_eth_open(struct eth_device *dev, bd_t *bis)
        dv_reg_p                addr;
        u_int32_t               clkdiv, cnt;
        volatile emac_desc      *rx_desc;
+       unsigned long           mac_hi;
+       unsigned long           mac_lo;
 
        debug_emac("+ emac_open\n");
 
        /* Reset EMAC module and disable interrupts in wrapper */
-       adap_emac->SOFTRESET = 1;
-       while (adap_emac->SOFTRESET != 0) {;}
-       adap_ewrap->EWCTL = 0;
+       writel(1, &adap_emac->SOFTRESET);
+       while (readl(&adap_emac->SOFTRESET) != 0)
+               ;
+#if defined(DAVINCI_EMAC_VERSION2)
+       writel(1, &adap_ewrap->softrst);
+       while (readl(&adap_ewrap->softrst) != 0)
+               ;
+#else
+       writel(0, &adap_ewrap->EWCTL);
        for (cnt = 0; cnt < 5; cnt++) {
-               clkdiv = adap_ewrap->EWCTL;
+               clkdiv = readl(&adap_ewrap->EWCTL);
        }
+#endif
 
        rx_desc = emac_rx_desc;
 
-       adap_emac->TXCONTROL = 0x01;
-       adap_emac->RXCONTROL = 0x01;
+       writel(1, &adap_emac->TXCONTROL);
+       writel(1, &adap_emac->RXCONTROL);
 
        /* Set MAC Addresses & Init multicast Hash to 0 (disable any multicast receive) */
        /* Using channel 0 only - other channels are disabled */
-       adap_emac->MACINDEX = 0;
-       adap_emac->MACADDRHI =
-               (davinci_eth_mac_addr[3] << 24) |
-               (davinci_eth_mac_addr[2] << 16) |
-               (davinci_eth_mac_addr[1] << 8)  |
-               (davinci_eth_mac_addr[0]);
-       adap_emac->MACADDRLO =
-               (davinci_eth_mac_addr[5] << 8) |
-               (davinci_eth_mac_addr[4]);
-
-       adap_emac->MACHASH1 = 0;
-       adap_emac->MACHASH2 = 0;
+       writel(0, &adap_emac->MACINDEX);
+       mac_hi = (davinci_eth_mac_addr[3] << 24) |
+                (davinci_eth_mac_addr[2] << 16) |
+                (davinci_eth_mac_addr[1] << 8)  |
+                (davinci_eth_mac_addr[0]);
+       mac_lo = (davinci_eth_mac_addr[5] << 8) |
+                (davinci_eth_mac_addr[4]);
+
+       writel(mac_hi, &adap_emac->MACADDRHI);
+#if defined(DAVINCI_EMAC_VERSION2)
+       writel(mac_lo | EMAC_MAC_ADDR_IS_VALID | EMAC_MAC_ADDR_MATCH,
+              &adap_emac->MACADDRLO);
+#else
+       writel(mac_lo, &adap_emac->MACADDRLO);
+#endif
+
+       writel(0, &adap_emac->MACHASH1);
+       writel(0, &adap_emac->MACHASH2);
 
        /* Set source MAC address - REQUIRED */
-       adap_emac->MACSRCADDRHI =
-               (davinci_eth_mac_addr[3] << 24) |
-               (davinci_eth_mac_addr[2] << 16) |
-               (davinci_eth_mac_addr[1] << 8)  |
-               (davinci_eth_mac_addr[0]);
-       adap_emac->MACSRCADDRLO =
-               (davinci_eth_mac_addr[4] << 8) |
-               (davinci_eth_mac_addr[5]);
+       writel(mac_hi, &adap_emac->MACSRCADDRHI);
+       writel(mac_lo, &adap_emac->MACSRCADDRLO);
 
        /* Set DMA 8 TX / 8 RX Head pointers to 0 */
        addr = &adap_emac->TX0HDP;
        for(cnt = 0; cnt < 16; cnt++)
-               *addr++ = 0;
+               writel(0, addr++);
 
        addr = &adap_emac->RX0HDP;
        for(cnt = 0; cnt < 16; cnt++)
-               *addr++ = 0;
+               writel(0, addr++);
 
        /* Clear Statistics (do this before setting MacControl register) */
        addr = &adap_emac->RXGOODFRAMES;
        for(cnt = 0; cnt < EMAC_NUM_STATS; cnt++)
-               *addr++ = 0;
+               writel(0, addr++);
 
        /* No multicast addressing */
-       adap_emac->MACHASH1 = 0;
-       adap_emac->MACHASH2 = 0;
+       writel(0, &adap_emac->MACHASH1);
+       writel(0, &adap_emac->MACHASH2);
 
        /* Create RX queue and set receive process in place */
        emac_rx_active_head = emac_rx_desc;
@@ -324,34 +364,52 @@ static int davinci_eth_open(struct eth_device *dev, bd_t *bis)
                rx_desc++;
        }
 
-       /* Set the last descriptor's "next" parameter to 0 to end the RX desc list */
+       /* Finalize the rx desc list */
        rx_desc--;
        rx_desc->next = 0;
        emac_rx_active_tail = rx_desc;
        emac_rx_queue_active = 1;
 
        /* Enable TX/RX */
-       adap_emac->RXMAXLEN = EMAC_MAX_ETHERNET_PKT_SIZE;
-       adap_emac->RXBUFFEROFFSET = 0;
+       writel(EMAC_MAX_ETHERNET_PKT_SIZE, &adap_emac->RXMAXLEN);
+       writel(0, &adap_emac->RXBUFFEROFFSET);
 
-       /* No fancy configs - Use this for promiscous for debug - EMAC_RXMBPENABLE_RXCAFEN_ENABLE */
-       adap_emac->RXMBPENABLE = EMAC_RXMBPENABLE_RXBROADEN;
+       /*
+        * No fancy configs - Use this for promiscous debug
+        *   - EMAC_RXMBPENABLE_RXCAFEN_ENABLE
+        */
+       writel(EMAC_RXMBPENABLE_RXBROADEN, &adap_emac->RXMBPENABLE);
 
        /* Enable ch 0 only */
-       adap_emac->RXUNICASTSET = 0x01;
+       writel(1, &adap_emac->RXUNICASTSET);
 
        /* Enable MII interface and Full duplex mode */
-       adap_emac->MACCONTROL = (EMAC_MACCONTROL_MIIEN_ENABLE | EMAC_MACCONTROL_FULLDUPLEX_ENABLE);
+#ifdef CONFIG_SOC_DA8XX
+       writel((EMAC_MACCONTROL_MIIEN_ENABLE |
+               EMAC_MACCONTROL_FULLDUPLEX_ENABLE |
+               EMAC_MACCONTROL_RMIISPEED_100),
+              &adap_emac->MACCONTROL);
+#else
+       writel((EMAC_MACCONTROL_MIIEN_ENABLE |
+               EMAC_MACCONTROL_FULLDUPLEX_ENABLE),
+              &adap_emac->MACCONTROL);
+#endif
 
        /* Init MDIO & get link state */
        clkdiv = (EMAC_MDIO_BUS_FREQ / EMAC_MDIO_CLOCK_FREQ) - 1;
-       adap_mdio->CONTROL = ((clkdiv & 0xff) | MDIO_CONTROL_ENABLE | MDIO_CONTROL_FAULT);
+       writel((clkdiv & 0xff) | MDIO_CONTROL_ENABLE | MDIO_CONTROL_FAULT,
+              &adap_mdio->CONTROL);
+
+       /* We need to wait for MDIO to start */
+       udelay(1000);
 
        if (!phy.get_link_speed(active_phy_addr))
                return(0);
 
+       emac_gigabit_enable();
+
        /* Start receive process */
-       adap_emac->RX0HDP = (u_int32_t)emac_rx_desc;
+       writel((u_int32_t)emac_rx_desc, &adap_emac->RX0HDP);
 
        debug_emac("- emac_open\n");
 
@@ -368,34 +426,42 @@ static void davinci_eth_ch_teardown(int ch)
 
        if (ch == EMAC_CH_TX) {
                /* Init TX channel teardown */
-               adap_emac->TXTEARDOWN = 1;
-               for(cnt = 0; cnt != 0xfffffffc; cnt = adap_emac->TX0CP) {
-                       /* Wait here for Tx teardown completion interrupt to occur
-                        * Note: A task delay can be called here to pend rather than
-                        * occupying CPU cycles - anyway it has been found that teardown
-                        * takes very few cpu cycles and does not affect functionality */
-                        dly--;
-                        udelay(1);
-                        if (dly == 0)
+               writel(1, &adap_emac->TXTEARDOWN);
+               do {
+                       /*
+                        * Wait here for Tx teardown completion interrupt to
+                        * occur. Note: A task delay can be called here to pend
+                        * rather than occupying CPU cycles - anyway it has
+                        * been found that teardown takes very few cpu cycles
+                        * and does not affect functionality
+                        */
+                       dly--;
+                       udelay(1);
+                       if (dly == 0)
                                break;
-               }
-               adap_emac->TX0CP = cnt;
-               adap_emac->TX0HDP = 0;
+                       cnt = readl(&adap_emac->TX0CP);
+               } while (cnt != 0xfffffffc);
+               writel(cnt, &adap_emac->TX0CP);
+               writel(0, &adap_emac->TX0HDP);
        } else {
                /* Init RX channel teardown */
-               adap_emac->RXTEARDOWN = 1;
-               for(cnt = 0; cnt != 0xfffffffc; cnt = adap_emac->RX0CP) {
-                       /* Wait here for Rx teardown completion interrupt to occur
-                        * Note: A task delay can be called here to pend rather than
-                        * occupying CPU cycles - anyway it has been found that teardown
-                        * takes very few cpu cycles and does not affect functionality */
-                        dly--;
-                        udelay(1);
-                        if (dly == 0)
+               writel(1, &adap_emac->RXTEARDOWN);
+               do {
+                       /*
+                        * Wait here for Rx teardown completion interrupt to
+                        * occur. Note: A task delay can be called here to pend
+                        * rather than occupying CPU cycles - anyway it has
+                        * been found that teardown takes very few cpu cycles
+                        * and does not affect functionality
+                        */
+                       dly--;
+                       udelay(1);
+                       if (dly == 0)
                                break;
-               }
-               adap_emac->RX0CP = cnt;
-               adap_emac->RX0HDP = 0;
+                       cnt = readl(&adap_emac->RX0CP);
+               } while (cnt != 0xfffffffc);
+               writel(cnt, &adap_emac->RX0CP);
+               writel(0, &adap_emac->RX0HDP);
        }
 
        debug_emac("- emac_ch_teardown\n");
@@ -410,8 +476,12 @@ static void davinci_eth_close(struct eth_device *dev)
        davinci_eth_ch_teardown(EMAC_CH_RX);    /* RX Channel teardown */
 
        /* Reset EMAC module and disable interrupts in wrapper */
-       adap_emac->SOFTRESET = 1;
-       adap_ewrap->EWCTL = 0;
+       writel(1, &adap_emac->SOFTRESET);
+#if defined(DAVINCI_EMAC_VERSION2)
+       writel(1, &adap_ewrap->softrst);
+#else
+       writel(0, &adap_ewrap->EWCTL);
+#endif
 
        debug_emac("- emac_close\n");
 }
@@ -435,6 +505,8 @@ static int davinci_eth_send_packet (struct eth_device *dev,
                return (ret_status);
        }
 
+       emac_gigabit_enable();
+
        /* Check packet size and if < EMAC_MIN_ETHERNET_PKT_SIZE, pad it up */
        if (length < EMAC_MIN_ETHERNET_PKT_SIZE) {
                length = EMAC_MIN_ETHERNET_PKT_SIZE;
@@ -449,7 +521,7 @@ static int davinci_eth_send_packet (struct eth_device *dev,
                                      EMAC_CPPI_OWNERSHIP_BIT |
                                      EMAC_CPPI_EOP_BIT);
        /* Send the packet */
-       adap_emac->TX0HDP = (unsigned int) emac_tx_desc;
+       writel((unsigned long)emac_tx_desc, &adap_emac->TX0HDP);
 
        /* Wait for packet to complete or link down */
        while (1) {
@@ -457,7 +529,10 @@ static int davinci_eth_send_packet (struct eth_device *dev,
                        davinci_eth_ch_teardown (EMAC_CH_TX);
                        return (ret_status);
                }
-               if (adap_emac->TXINTSTATRAW & 0x01) {
+
+               emac_gigabit_enable();
+
+               if (readl(&adap_emac->TXINTSTATRAW) & 0x01) {
                        ret_status = length;
                        break;
                }
@@ -490,15 +565,15 @@ static int davinci_eth_rcv_packet (struct eth_device *dev)
                }
 
                /* Ack received packet descriptor */
-               adap_emac->RX0CP = (unsigned int) rx_curr_desc;
+               writel((unsigned long)rx_curr_desc, &adap_emac->RX0CP);
                curr_desc = rx_curr_desc;
                emac_rx_active_head =
                        (volatile emac_desc *) rx_curr_desc->next;
 
                if (status & EMAC_CPPI_EOQ_BIT) {
                        if (emac_rx_active_head) {
-                               adap_emac->RX0HDP =
-                                       (unsigned int) emac_rx_active_head;
+                               writel((unsigned long)emac_rx_active_head,
+                                      &adap_emac->RX0HDP);
                        } else {
                                emac_rx_queue_active = 0;
                                printf ("INFO:emac_rcv_packet: RX Queue not active\n");
@@ -515,8 +590,8 @@ static int davinci_eth_rcv_packet (struct eth_device *dev)
                        emac_rx_active_head = curr_desc;
                        emac_rx_active_tail = curr_desc;
                        if (emac_rx_queue_active != 0) {
-                               adap_emac->RX0HDP =
-                                       (unsigned int) emac_rx_active_head;
+                               writel((unsigned long)emac_rx_active_head,
+                                      &adap_emac->RX0HDP);
                                printf ("INFO: emac_rcv_pkt: active queue head = 0, HDP fired\n");
                                emac_rx_queue_active = 1;
                        }
@@ -526,7 +601,8 @@ static int davinci_eth_rcv_packet (struct eth_device *dev)
                        tail_desc->next = (unsigned int) curr_desc;
                        status = tail_desc->pkt_flag_len;
                        if (status & EMAC_CPPI_EOQ_BIT) {
-                               adap_emac->RX0HDP = (unsigned int) curr_desc;
+                               writel((unsigned long)curr_desc,
+                                      &adap_emac->RX0HDP);
                                status &= ~EMAC_CPPI_EOQ_BIT;
                                tail_desc->pkt_flag_len = status;
                        }
@@ -566,7 +642,7 @@ int davinci_emac_initialize(void)
        davinci_eth_mdio_enable();
 
        for (i = 0; i < 256; i++) {
-               if (adap_mdio->ALIVE)
+               if (readl(&adap_mdio->ALIVE))
                        break;
                udelay(10);
        }
diff --git a/drivers/net/ep93xx_eth.c b/drivers/net/ep93xx_eth.c
new file mode 100644 (file)
index 0000000..4e39948
--- /dev/null
@@ -0,0 +1,653 @@
+/*
+ * Cirrus Logic EP93xx ethernet MAC / MII driver.
+ *
+ * Copyright (C) 2010, 2009
+ * Matthias Kaehlcke <matthias@kaehlcke.net>
+ *
+ * Copyright (C) 2004, 2005
+ * Cory T. Tusar, Videon Central, Inc., <ctusar@videon-central.com>
+ *
+ * Based on the original eth.[ch] Cirrus Logic EP93xx Rev D. Ethernet Driver,
+ * which is
+ *
+ * (C) Copyright 2002 2003
+ * Adam Bezanson, Network Audio Technologies, Inc.
+ * <bezanson@netaudiotech.com>
+ *
+ * See file CREDITS for list of people who contributed to this project.
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful, but
+ * WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
+ * or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+ * for more details.
+ *
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 675 Mass Ave, Cambridge, MA 02139, USA.
+ */
+
+#include <command.h>
+#include <common.h>
+#include <asm/arch/ep93xx.h>
+#include <asm/io.h>
+#include <malloc.h>
+#include <miiphy.h>
+#include <linux/types.h>
+#include "ep93xx_eth.h"
+
+#define GET_PRIV(eth_dev)      ((struct ep93xx_priv *)(eth_dev)->priv)
+#define GET_REGS(eth_dev)      (GET_PRIV(eth_dev)->regs)
+
+/* ep93xx_miiphy ops forward declarations */
+static int ep93xx_miiphy_read(char * const dev, unsigned char const addr,
+                       unsigned char const reg, unsigned short * const value);
+static int ep93xx_miiphy_write(char * const dev, unsigned char const addr,
+                       unsigned char const reg, unsigned short const value);
+
+#if defined(EP93XX_MAC_DEBUG)
+/**
+ * Dump ep93xx_mac values to the terminal.
+ */
+static void dump_dev(struct eth_device *dev)
+{
+       struct ep93xx_priv *priv = GET_PRIV(dev);
+       int i;
+
+       printf("\ndump_dev()\n");
+       printf("  rx_dq.base         %p\n", priv->rx_dq.base);
+       printf("  rx_dq.current      %p\n", priv->rx_dq.current);
+       printf("  rx_dq.end          %p\n", priv->rx_dq.end);
+       printf("  rx_sq.base         %p\n", priv->rx_sq.base);
+       printf("  rx_sq.current      %p\n", priv->rx_sq.current);
+       printf("  rx_sq.end          %p\n", priv->rx_sq.end);
+
+       for (i = 0; i < NUMRXDESC; i++)
+               printf("  rx_buffer[%2.d]      %p\n", i, NetRxPackets[i]);
+
+       printf("  tx_dq.base         %p\n", priv->tx_dq.base);
+       printf("  tx_dq.current      %p\n", priv->tx_dq.current);
+       printf("  tx_dq.end          %p\n", priv->tx_dq.end);
+       printf("  tx_sq.base         %p\n", priv->tx_sq.base);
+       printf("  tx_sq.current      %p\n", priv->tx_sq.current);
+       printf("  tx_sq.end          %p\n", priv->tx_sq.end);
+}
+
+/**
+ * Dump all RX status queue entries to the terminal.
+ */
+static void dump_rx_status_queue(struct eth_device *dev)
+{
+       struct ep93xx_priv *priv = GET_PRIV(dev);
+       int i;
+
+       printf("\ndump_rx_status_queue()\n");
+       printf("  descriptor address     word1           word2\n");
+       for (i = 0; i < NUMRXDESC; i++) {
+               printf("  [ %p ]             %08X        %08X\n",
+                       priv->rx_sq.base + i,
+                       (priv->rx_sq.base + i)->word1,
+                       (priv->rx_sq.base + i)->word2);
+       }
+}
+
+/**
+ * Dump all RX descriptor queue entries to the terminal.
+ */
+static void dump_rx_descriptor_queue(struct eth_device *dev)
+{
+       struct ep93xx_priv *priv = GET_PRIV(dev);
+       int i;
+
+       printf("\ndump_rx_descriptor_queue()\n");
+       printf("  descriptor address     word1           word2\n");
+       for (i = 0; i < NUMRXDESC; i++) {
+               printf("  [ %p ]             %08X        %08X\n",
+                       priv->rx_dq.base + i,
+                       (priv->rx_dq.base + i)->word1,
+                       (priv->rx_dq.base + i)->word2);
+       }
+}
+
+/**
+ * Dump all TX descriptor queue entries to the terminal.
+ */
+static void dump_tx_descriptor_queue(struct eth_device *dev)
+{
+       struct ep93xx_priv *priv = GET_PRIV(dev);
+       int i;
+
+       printf("\ndump_tx_descriptor_queue()\n");
+       printf("  descriptor address     word1           word2\n");
+       for (i = 0; i < NUMTXDESC; i++) {
+               printf("  [ %p ]             %08X        %08X\n",
+                       priv->tx_dq.base + i,
+                       (priv->tx_dq.base + i)->word1,
+                       (priv->tx_dq.base + i)->word2);
+       }
+}
+
+/**
+ * Dump all TX status queue entries to the terminal.
+ */
+static void dump_tx_status_queue(struct eth_device *dev)
+{
+       struct ep93xx_priv *priv = GET_PRIV(dev);
+       int i;
+
+       printf("\ndump_tx_status_queue()\n");
+       printf("  descriptor address     word1\n");
+       for (i = 0; i < NUMTXDESC; i++) {
+               printf("  [ %p ]             %08X\n",
+                       priv->rx_sq.base + i,
+                       (priv->rx_sq.base + i)->word1);
+       }
+}
+#else
+#define dump_dev(x)
+#define dump_rx_descriptor_queue(x)
+#define dump_rx_status_queue(x)
+#define dump_tx_descriptor_queue(x)
+#define dump_tx_status_queue(x)
+#endif /* defined(EP93XX_MAC_DEBUG) */
+
+/**
+ * Reset the EP93xx MAC by twiddling the soft reset bit and spinning until
+ * it's cleared.
+ */
+static void ep93xx_mac_reset(struct eth_device *dev)
+{
+       struct mac_regs *mac = GET_REGS(dev);
+       uint32_t value;
+
+       debug("+ep93xx_mac_reset");
+
+       value = readl(&mac->selfctl);
+       value |= SELFCTL_RESET;
+       writel(value, &mac->selfctl);
+
+       while (readl(&mac->selfctl) & SELFCTL_RESET)
+               ; /* noop */
+
+       debug("-ep93xx_mac_reset");
+}
+
+/* Eth device open */
+static int ep93xx_eth_open(struct eth_device *dev, bd_t *bd)
+{
+       struct ep93xx_priv *priv = GET_PRIV(dev);
+       struct mac_regs *mac = GET_REGS(dev);
+       uchar *mac_addr = dev->enetaddr;
+       int i;
+
+       debug("+ep93xx_eth_open");
+
+       /* Reset the MAC */
+       ep93xx_mac_reset(dev);
+
+       /* Reset the descriptor queues' current and end address values */
+       priv->tx_dq.current = priv->tx_dq.base;
+       priv->tx_dq.end = (priv->tx_dq.base + NUMTXDESC);
+
+       priv->tx_sq.current = priv->tx_sq.base;
+       priv->tx_sq.end = (priv->tx_sq.base + NUMTXDESC);
+
+       priv->rx_dq.current = priv->rx_dq.base;
+       priv->rx_dq.end = (priv->rx_dq.base + NUMRXDESC);
+
+       priv->rx_sq.current = priv->rx_sq.base;
+       priv->rx_sq.end = (priv->rx_sq.base + NUMRXDESC);
+
+       /*
+        * Set the transmit descriptor and status queues' base address,
+        * current address, and length registers.  Set the maximum frame
+        * length and threshold. Enable the transmit descriptor processor.
+        */
+       writel((uint32_t)priv->tx_dq.base, &mac->txdq.badd);
+       writel((uint32_t)priv->tx_dq.base, &mac->txdq.curadd);
+       writel(sizeof(struct tx_descriptor) * NUMTXDESC, &mac->txdq.blen);
+
+       writel((uint32_t)priv->tx_sq.base, &mac->txstsq.badd);
+       writel((uint32_t)priv->tx_sq.base, &mac->txstsq.curadd);
+       writel(sizeof(struct tx_status) * NUMTXDESC, &mac->txstsq.blen);
+
+       writel(0x00040000, &mac->txdthrshld);
+       writel(0x00040000, &mac->txststhrshld);
+
+       writel((TXSTARTMAX << 0) | (PKTSIZE_ALIGN << 16), &mac->maxfrmlen);
+       writel(BMCTL_TXEN, &mac->bmctl);
+
+       /*
+        * Set the receive descriptor and status queues' base address,
+        * current address, and length registers.  Enable the receive
+        * descriptor processor.
+        */
+       writel((uint32_t)priv->rx_dq.base, &mac->rxdq.badd);
+       writel((uint32_t)priv->rx_dq.base, &mac->rxdq.curadd);
+       writel(sizeof(struct rx_descriptor) * NUMRXDESC, &mac->rxdq.blen);
+
+       writel((uint32_t)priv->rx_sq.base, &mac->rxstsq.badd);
+       writel((uint32_t)priv->rx_sq.base, &mac->rxstsq.curadd);
+       writel(sizeof(struct rx_status) * NUMRXDESC, &mac->rxstsq.blen);
+
+       writel(0x00040000, &mac->rxdthrshld);
+
+       writel(BMCTL_RXEN, &mac->bmctl);
+
+       writel(0x00040000, &mac->rxststhrshld);
+
+       /* Wait until the receive descriptor processor is active */
+       while (!(readl(&mac->bmsts) & BMSTS_RXACT))
+               ; /* noop */
+
+       /*
+        * Initialize the RX descriptor queue. Clear the TX descriptor queue.
+        * Clear the RX and TX status queues. Enqueue the RX descriptor and
+        * status entries to the MAC.
+        */
+       for (i = 0; i < NUMRXDESC; i++) {
+               /* set buffer address */
+               (priv->rx_dq.base + i)->word1 = (uint32_t)NetRxPackets[i];
+
+               /* set buffer length, clear buffer index and NSOF */
+               (priv->rx_dq.base + i)->word2 = PKTSIZE_ALIGN;
+       }
+
+       memset(priv->tx_dq.base, 0,
+               (sizeof(struct tx_descriptor) * NUMTXDESC));
+       memset(priv->rx_sq.base, 0,
+               (sizeof(struct rx_status) * NUMRXDESC));
+       memset(priv->tx_sq.base, 0,
+               (sizeof(struct tx_status) * NUMTXDESC));
+
+       writel(NUMRXDESC, &mac->rxdqenq);
+       writel(NUMRXDESC, &mac->rxstsqenq);
+
+       /* Set the primary MAC address */
+       writel(AFP_IAPRIMARY, &mac->afp);
+       writel(mac_addr[0] | (mac_addr[1] << 8) |
+               (mac_addr[2] << 16) | (mac_addr[3] << 24),
+               &mac->indad);
+       writel(mac_addr[4] | (mac_addr[5] << 8), &mac->indad_upper);
+
+       /* Turn on RX and TX */
+       writel(RXCTL_IA0 | RXCTL_BA | RXCTL_SRXON |
+               RXCTL_RCRCA | RXCTL_MA, &mac->rxctl);
+       writel(TXCTL_STXON, &mac->txctl);
+
+       /* Dump data structures if we're debugging */
+       dump_dev(dev);
+       dump_rx_descriptor_queue(dev);
+       dump_rx_status_queue(dev);
+       dump_tx_descriptor_queue(dev);
+       dump_tx_status_queue(dev);
+
+       debug("-ep93xx_eth_open");
+
+       return 1;
+}
+
+/**
+ * Halt EP93xx MAC transmit and receive by clearing the TxCTL and RxCTL
+ * registers.
+ */
+static void ep93xx_eth_close(struct eth_device *dev)
+{
+       struct mac_regs *mac = GET_REGS(dev);
+
+       debug("+ep93xx_eth_close");
+
+       writel(0x00000000, &mac->rxctl);
+       writel(0x00000000, &mac->txctl);
+
+       debug("-ep93xx_eth_close");
+}
+
+/**
+ * Copy a frame of data from the MAC into the protocol layer for further
+ * processing.
+ */
+static int ep93xx_eth_rcv_packet(struct eth_device *dev)
+{
+       struct mac_regs *mac = GET_REGS(dev);
+       struct ep93xx_priv *priv = GET_PRIV(dev);
+       int len = -1;
+
+       debug("+ep93xx_eth_rcv_packet");
+
+       if (RX_STATUS_RFP(priv->rx_sq.current)) {
+               if (RX_STATUS_RWE(priv->rx_sq.current)) {
+                       /*
+                        * We have a good frame. Extract the frame's length
+                        * from the current rx_status_queue entry, and copy
+                        * the frame's data into NetRxPackets[] of the
+                        * protocol stack. We track the total number of
+                        * bytes in the frame (nbytes_frame) which will be
+                        * used when we pass the data off to the protocol
+                        * layer via NetReceive().
+                        */
+                       len = RX_STATUS_FRAME_LEN(priv->rx_sq.current);
+
+                       NetReceive((uchar *)priv->rx_dq.current->word1, len);
+
+                       debug("reporting %d bytes...\n", len);
+               } else {
+                       /* Do we have an erroneous packet? */
+                       error("packet rx error, status %08X %08X",
+                               priv->rx_sq.current->word1,
+                               priv->rx_sq.current->word2);
+                       dump_rx_descriptor_queue(dev);
+                       dump_rx_status_queue(dev);
+               }
+
+               /*
+                * Clear the associated status queue entry, and
+                * increment our current pointers to the next RX
+                * descriptor and status queue entries (making sure
+                * we wrap properly).
+                */
+               memset((void *)priv->rx_sq.current, 0,
+                       sizeof(struct rx_status));
+
+               priv->rx_sq.current++;
+               if (priv->rx_sq.current >= priv->rx_sq.end)
+                       priv->rx_sq.current = priv->rx_sq.base;
+
+               priv->rx_dq.current++;
+               if (priv->rx_dq.current >= priv->rx_dq.end)
+                       priv->rx_dq.current = priv->rx_dq.base;
+
+               /*
+                * Finally, return the RX descriptor and status entries
+                * back to the MAC engine, and loop again, checking for
+                * more descriptors to process.
+                */
+               writel(1, &mac->rxdqenq);
+               writel(1, &mac->rxstsqenq);
+       } else {
+               len = 0;
+       }
+
+       debug("-ep93xx_eth_rcv_packet %d", len);
+       return len;
+}
+
+/**
+ * Send a block of data via ethernet.
+ */
+static int ep93xx_eth_send_packet(struct eth_device *dev,
+                               volatile void * const packet, int const length)
+{
+       struct mac_regs *mac = GET_REGS(dev);
+       struct ep93xx_priv *priv = GET_PRIV(dev);
+       int ret = -1;
+
+       debug("+ep93xx_eth_send_packet");
+
+       /* Parameter check */
+       BUG_ON(packet == NULL);
+
+       /*
+        * Initialize the TX descriptor queue with the new packet's info.
+        * Clear the associated status queue entry. Enqueue the packet
+        * to the MAC for transmission.
+        */
+
+       /* set buffer address */
+       priv->tx_dq.current->word1 = (uint32_t)packet;
+
+       /* set buffer length and EOF bit */
+       priv->tx_dq.current->word2 = length | TX_DESC_EOF;
+
+       /* clear tx status */
+       priv->tx_sq.current->word1 = 0;
+
+       /* enqueue the TX descriptor */
+       writel(1, &mac->txdqenq);
+
+       /* wait for the frame to become processed */
+       while (!TX_STATUS_TXFP(priv->tx_sq.current))
+               ; /* noop */
+
+       if (!TX_STATUS_TXWE(priv->tx_sq.current)) {
+               error("packet tx error, status %08X",
+                       priv->tx_sq.current->word1);
+               dump_tx_descriptor_queue(dev);
+               dump_tx_status_queue(dev);
+
+               /* TODO: Add better error handling? */
+               goto eth_send_out;
+       }
+
+       ret = 0;
+       /* Fall through */
+
+eth_send_out:
+       debug("-ep93xx_eth_send_packet %d", ret);
+       return ret;
+}
+
+#if defined(CONFIG_MII)
+int ep93xx_miiphy_initialize(bd_t * const bd)
+{
+       miiphy_register("ep93xx_eth0", ep93xx_miiphy_read, ep93xx_miiphy_write);
+       return 0;
+}
+#endif
+
+/**
+ * Initialize the EP93xx MAC.  The MAC hardware is reset.  Buffers are
+ * allocated, if necessary, for the TX and RX descriptor and status queues,
+ * as well as for received packets.  The EP93XX MAC hardware is initialized.
+ * Transmit and receive operations are enabled.
+ */
+int ep93xx_eth_initialize(u8 dev_num, int base_addr)
+{
+       int ret = -1;
+       struct eth_device *dev;
+       struct ep93xx_priv *priv;
+
+       debug("+ep93xx_eth_initialize");
+
+       priv = malloc(sizeof(*priv));
+       if (!priv) {
+               error("malloc() failed");
+               goto eth_init_failed_0;
+       }
+       memset(priv, 0, sizeof(*priv));
+
+       priv->regs = (struct mac_regs *)base_addr;
+
+       priv->tx_dq.base = calloc(NUMTXDESC,
+                               sizeof(struct tx_descriptor));
+       if (priv->tx_dq.base == NULL) {
+               error("calloc() failed");
+               goto eth_init_failed_1;
+       }
+
+       priv->tx_sq.base = calloc(NUMTXDESC,
+                               sizeof(struct tx_status));
+       if (priv->tx_sq.base == NULL) {
+               error("calloc() failed");
+               goto eth_init_failed_2;
+       }
+
+       priv->rx_dq.base = calloc(NUMRXDESC,
+                               sizeof(struct rx_descriptor));
+       if (priv->rx_dq.base == NULL) {
+               error("calloc() failed");
+               goto eth_init_failed_3;
+       }
+
+       priv->rx_sq.base = calloc(NUMRXDESC,
+                               sizeof(struct rx_status));
+       if (priv->rx_sq.base == NULL) {
+               error("calloc() failed");
+               goto eth_init_failed_4;
+       }
+
+       dev = malloc(sizeof *dev);
+       if (dev == NULL) {
+               error("malloc() failed");
+               goto eth_init_failed_5;
+       }
+       memset(dev, 0, sizeof *dev);
+
+       dev->iobase = base_addr;
+       dev->priv = priv;
+       dev->init = ep93xx_eth_open;
+       dev->halt = ep93xx_eth_close;
+       dev->send = ep93xx_eth_send_packet;
+       dev->recv = ep93xx_eth_rcv_packet;
+
+       sprintf(dev->name, "ep93xx_eth-%hu", dev_num);
+
+       eth_register(dev);
+
+       /* Done! */
+       ret = 1;
+       goto eth_init_done;
+
+eth_init_failed_5:
+       free(priv->rx_sq.base);
+       /* Fall through */
+
+eth_init_failed_4:
+       free(priv->rx_dq.base);
+       /* Fall through */
+
+eth_init_failed_3:
+       free(priv->tx_sq.base);
+       /* Fall through */
+
+eth_init_failed_2:
+       free(priv->tx_dq.base);
+       /* Fall through */
+
+eth_init_failed_1:
+       free(priv);
+       /* Fall through */
+
+eth_init_failed_0:
+       /* Fall through */
+
+eth_init_done:
+       debug("-ep93xx_eth_initialize %d", ret);
+       return ret;
+}
+
+#if defined(CONFIG_MII)
+
+/**
+ * Maximum MII address we support
+ */
+#define MII_ADDRESS_MAX                        31
+
+/**
+ * Maximum MII register address we support
+ */
+#define MII_REGISTER_MAX               31
+
+/**
+ * Read a 16-bit value from an MII register.
+ */
+static int ep93xx_miiphy_read(char * const dev, unsigned char const addr,
+                       unsigned char const reg, unsigned short * const value)
+{
+       struct mac_regs *mac = (struct mac_regs *)MAC_BASE;
+       int ret = -1;
+       uint32_t self_ctl;
+
+       debug("+ep93xx_miiphy_read");
+
+       /* Parameter checks */
+       BUG_ON(dev == NULL);
+       BUG_ON(addr > MII_ADDRESS_MAX);
+       BUG_ON(reg > MII_REGISTER_MAX);
+       BUG_ON(value == NULL);
+
+       /*
+        * Save the current SelfCTL register value.  Set MAC to suppress
+        * preamble bits.  Wait for any previous MII command to complete
+        * before issuing the new command.
+        */
+       self_ctl = readl(&mac->selfctl);
+#if defined(CONFIG_MII_SUPPRESS_PREAMBLE)
+       writel(self_ctl & ~(1 << 8), &mac->selfctl);
+#endif /* defined(CONFIG_MII_SUPPRESS_PREAMBLE) */
+
+       while (readl(&mac->miists) & MIISTS_BUSY)
+               ; /* noop */
+
+       /*
+        * Issue the MII 'read' command.  Wait for the command to complete.
+        * Read the MII data value.
+        */
+       writel(MIICMD_OPCODE_READ | ((uint32_t)addr << 5) | (uint32_t)reg,
+               &mac->miicmd);
+       while (readl(&mac->miists) & MIISTS_BUSY)
+               ; /* noop */
+
+       *value = (unsigned short)readl(&mac->miidata);
+
+       /* Restore the saved SelfCTL value and return. */
+       writel(self_ctl, &mac->selfctl);
+
+       ret = 0;
+       /* Fall through */
+
+       debug("-ep93xx_miiphy_read");
+       return ret;
+}
+
+/**
+ * Write a 16-bit value to an MII register.
+ */
+static int ep93xx_miiphy_write(char * const dev, unsigned char const addr,
+                       unsigned char const reg, unsigned short const value)
+{
+       struct mac_regs *mac = (struct mac_regs *)MAC_BASE;
+       int ret = -1;
+       uint32_t self_ctl;
+
+       debug("+ep93xx_miiphy_write");
+
+       /* Parameter checks */
+       BUG_ON(dev == NULL);
+       BUG_ON(addr > MII_ADDRESS_MAX);
+       BUG_ON(reg > MII_REGISTER_MAX);
+
+       /*
+        * Save the current SelfCTL register value.  Set MAC to suppress
+        * preamble bits.  Wait for any previous MII command to complete
+        * before issuing the new command.
+        */
+       self_ctl = readl(&mac->selfctl);
+#if defined(CONFIG_MII_SUPPRESS_PREAMBLE)
+       writel(self_ctl & ~(1 << 8), &mac->selfctl);
+#endif /* defined(CONFIG_MII_SUPPRESS_PREAMBLE) */
+
+       while (readl(&mac->miists) & MIISTS_BUSY)
+               ; /* noop */
+
+       /* Issue the MII 'write' command.  Wait for the command to complete. */
+       writel((uint32_t)value, &mac->miidata);
+       writel(MIICMD_OPCODE_WRITE | ((uint32_t)addr << 5) | (uint32_t)reg,
+               &mac->miicmd);
+       while (readl(&mac->miists) & MIISTS_BUSY)
+               ; /* noop */
+
+       /* Restore the saved SelfCTL value and return. */
+       writel(self_ctl, &mac->selfctl);
+
+       ret = 0;
+       /* Fall through */
+
+       debug("-ep93xx_miiphy_write");
+       return ret;
+}
+#endif /* defined(CONFIG_MII) */
diff --git a/drivers/net/ep93xx_eth.h b/drivers/net/ep93xx_eth.h
new file mode 100644 (file)
index 0000000..4654b2f
--- /dev/null
@@ -0,0 +1,144 @@
+/*
+ * Copyright (C) 2009 Matthias Kaehlcke <matthias@kaehlcke.net>
+ *
+ * Copyright (C) 2004, 2005
+ * Cory T. Tusar, Videon Central, Inc., <ctusar@videon-central.com>
+ *
+ * See file CREDITS for list of people who contributed to this
+ * project.
+ *
+ * This program is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU General Public License as
+ * published by the Free Software Foundation; either version 2 of
+ * the License, or (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
+ * MA 02111-1307 USA
+ *
+ */
+
+#ifndef _EP93XX_ETH_H
+#define _EP93XX_ETH_H
+
+#include <net.h>
+
+/**
+ * #define this to dump device status and queue info during initialization and
+ * following errors.
+ */
+#undef EP93XX_MAC_DEBUG
+
+/**
+ * Number of descriptor and status entries in our RX queues.
+ * It must be power of 2 !
+ */
+#define NUMRXDESC              PKTBUFSRX
+
+/**
+ * Number of descriptor and status entries in our TX queues.
+ */
+#define NUMTXDESC              1
+
+/**
+ * 944 = (1024 - 64) - 16, Fifo size - Minframesize - 16 (Chip FACT)
+ */
+#define TXSTARTMAX             944
+
+/**
+ * Receive descriptor queue entry
+ */
+struct rx_descriptor {
+       uint32_t word1;
+       uint32_t word2;
+};
+
+/**
+ * Receive status queue entry
+ */
+struct rx_status {
+       uint32_t word1;
+       uint32_t word2;
+};
+
+#define RX_STATUS_RWE(rx_status) ((rx_status->word1 >> 30) & 0x01)
+#define RX_STATUS_RFP(rx_status) ((rx_status->word1 >> 31) & 0x01)
+#define RX_STATUS_FRAME_LEN(rx_status) (rx_status->word2 & 0xFFFF)
+
+/**
+ * Transmit descriptor queue entry
+ */
+struct tx_descriptor {
+       uint32_t word1;
+       uint32_t word2;
+};
+
+#define TX_DESC_EOF (1 << 31)
+
+/**
+ * Transmit status queue entry
+ */
+struct tx_status {
+       uint32_t word1;
+};
+
+#define TX_STATUS_TXWE(tx_status) (((tx_status)->word1 >> 30) & 0x01)
+#define TX_STATUS_TXFP(tx_status) (((tx_status)->word1 >> 31) & 0x01)
+
+/**
+ * Transmit descriptor queue
+ */
+struct tx_descriptor_queue {
+       struct tx_descriptor *base;
+       struct tx_descriptor *current;
+       struct tx_descriptor *end;
+};
+
+/**
+ * Transmit status queue
+ */
+struct tx_status_queue {
+       struct tx_status *base;
+       volatile struct tx_status *current;
+       struct tx_status *end;
+};
+
+/**
+ * Receive descriptor queue
+ */
+struct rx_descriptor_queue {
+       struct rx_descriptor *base;
+       struct rx_descriptor *current;
+       struct rx_descriptor *end;
+};
+
+/**
+ * Receive status queue
+ */
+struct rx_status_queue {
+       struct rx_status *base;
+       volatile struct rx_status *current;
+       struct rx_status *end;
+};
+
+/**
+ * EP93xx MAC private data structure
+ */
+struct ep93xx_priv {
+       struct rx_descriptor_queue      rx_dq;
+       struct rx_status_queue          rx_sq;
+       void                            *rx_buffer[NUMRXDESC];
+
+       struct tx_descriptor_queue      tx_dq;
+       struct tx_status_queue          tx_sq;
+
+       struct mac_regs                 *regs;
+};
+
+#endif
index c1843539f99adb5373fea56b88606b034d0fab1a..dcb8850239c6620de083f5b26bfb7540ab240e89 100644 (file)
@@ -42,6 +42,7 @@
 #include <net.h>
 #include <netdev.h>
 #include <malloc.h>
+#include <miiphy.h>
 
 #include <linux/mii.h>
 #include <asm/io.h>
@@ -164,6 +165,36 @@ static u16 macb_mdio_read(struct macb_device *macb, u8 reg)
        return MACB_BFEXT(DATA, frame);
 }
 
+#if defined(CONFIG_CMD_MII)
+
+int macb_miiphy_read(char *devname, u8 phy_adr, u8 reg, u16 *value)
+{
+       struct eth_device *dev = eth_get_dev_by_name(devname);
+       struct macb_device *macb = to_macb(dev);
+
+       if ( macb->phy_addr != phy_adr )
+               return -1;
+
+       *value = macb_mdio_read(macb, reg);
+
+       return 0;
+}
+
+int macb_miiphy_write(char *devname, u8 phy_adr, u8 reg, u16 value)
+{
+       struct eth_device *dev = eth_get_dev_by_name(devname);
+       struct macb_device *macb = to_macb(dev);
+
+       if ( macb->phy_addr != phy_adr )
+               return -1;
+
+       macb_mdio_write(macb, reg, value);
+
+       return 0;
+}
+#endif
+
+
 #if defined(CONFIG_CMD_NET)
 
 static int macb_send(struct eth_device *netdev, volatile void *packet,
@@ -542,84 +573,9 @@ int macb_eth_initialize(int id, void *regs, unsigned int phy_addr)
 
        eth_register(netdev);
 
-       return 0;
-}
-
-#endif
-
 #if defined(CONFIG_CMD_MII)
-
-int miiphy_read(unsigned char addr, unsigned char reg, unsigned short *value)
-{
-       unsigned long netctl;
-       unsigned long netstat;
-       unsigned long frame;
-       int iflag;
-
-       iflag = disable_interrupts();
-       netctl = macb_readl(&macb, EMACB_NCR);
-       netctl |= MACB_BIT(MPE);
-       macb_writel(&macb, EMACB_NCR, netctl);
-       if (iflag)
-               enable_interrupts();
-
-       frame = (MACB_BF(SOF, 1)
-                | MACB_BF(RW, 2)
-                | MACB_BF(PHYA, addr)
-                | MACB_BF(REGA, reg)
-                | MACB_BF(CODE, 2));
-       macb_writel(&macb, EMACB_MAN, frame);
-
-       do {
-               netstat = macb_readl(&macb, EMACB_NSR);
-       } while (!(netstat & MACB_BIT(IDLE)));
-
-       frame = macb_readl(&macb, EMACB_MAN);
-       *value = MACB_BFEXT(DATA, frame);
-
-       iflag = disable_interrupts();
-       netctl = macb_readl(&macb, EMACB_NCR);
-       netctl &= ~MACB_BIT(MPE);
-       macb_writel(&macb, EMACB_NCR, netctl);
-       if (iflag)
-               enable_interrupts();
-
-       return 0;
-}
-
-int miiphy_write(unsigned char addr, unsigned char reg, unsigned short value)
-{
-       unsigned long netctl;
-       unsigned long netstat;
-       unsigned long frame;
-       int iflag;
-
-       iflag = disable_interrupts();
-       netctl = macb_readl(&macb, EMACB_NCR);
-       netctl |= MACB_BIT(MPE);
-       macb_writel(&macb, EMACB_NCR, netctl);
-       if (iflag)
-               enable_interrupts();
-
-       frame = (MACB_BF(SOF, 1)
-                | MACB_BF(RW, 1)
-                | MACB_BF(PHYA, addr)
-                | MACB_BF(REGA, reg)
-                | MACB_BF(CODE, 2)
-                | MACB_BF(DATA, value));
-       macb_writel(&macb, EMACB_MAN, frame);
-
-       do {
-               netstat = macb_readl(&macb, EMACB_NSR);
-       } while (!(netstat & MACB_BIT(IDLE)));
-
-       iflag = disable_interrupts();
-       netctl = macb_readl(&macb, EMACB_NCR);
-       netctl &= ~MACB_BIT(MPE);
-       macb_writel(&macb, EMACB_NCR, netctl);
-       if (iflag)
-               enable_interrupts();
-
+       miiphy_register(netdev->name, macb_miiphy_read, macb_miiphy_write);
+#endif
        return 0;
 }
 
index 5d51406f6fab04a150b648dde3ec7a2e0d6bf526..cac08d0a7cccac93058fef90009316f02420114c 100644 (file)
@@ -257,12 +257,15 @@ int smc911x_initialize(u8 dev_num, int base_addr)
 
        addrh = smc911x_get_mac_csr(dev, ADDRH);
        addrl = smc911x_get_mac_csr(dev, ADDRL);
-       dev->enetaddr[0] = addrl;
-       dev->enetaddr[1] = addrl >>  8;
-       dev->enetaddr[2] = addrl >> 16;
-       dev->enetaddr[3] = addrl >> 24;
-       dev->enetaddr[4] = addrh;
-       dev->enetaddr[5] = addrh >> 8;
+       if (!(addrl == 0xffffffff && addrh == 0x0000ffff)) {
+               /* address is obtained from optional eeprom */
+               dev->enetaddr[0] = addrl;
+               dev->enetaddr[1] = addrl >>  8;
+               dev->enetaddr[2] = addrl >> 16;
+               dev->enetaddr[3] = addrl >> 24;
+               dev->enetaddr[4] = addrh;
+               dev->enetaddr[5] = addrh >> 8;
+       }
 
        dev->init = smc911x_init;
        dev->halt = smc911x_halt;
index d8b6619ae0d47bc9eab8dc0e07404a715eeb9546..fd49eff183d0adff2b152b75f0e411d69499c368 100644 (file)
@@ -48,14 +48,15 @@ static int tsec_send(struct eth_device *dev,
                     volatile void *packet, int length);
 static int tsec_recv(struct eth_device *dev);
 static int tsec_init(struct eth_device *dev, bd_t * bd);
+static int tsec_initialize(bd_t * bis, struct tsec_info_struct *tsec_info);
 static void tsec_halt(struct eth_device *dev);
 static void init_registers(volatile tsec_t * regs);
 static void startup_tsec(struct eth_device *dev);
 static int init_phy(struct eth_device *dev);
 void write_phy_reg(struct tsec_private *priv, uint regnum, uint value);
 uint read_phy_reg(struct tsec_private *priv, uint regnum);
-struct phy_info *get_phy_info(struct eth_device *dev);
-void phy_run_commands(struct tsec_private *priv, struct phy_cmd *cmd);
+static struct phy_info *get_phy_info(struct eth_device *dev);
+static void phy_run_commands(struct tsec_private *priv, struct phy_cmd *cmd);
 static void adjust_link(struct eth_device *dev);
 #if defined(CONFIG_MII) || defined(CONFIG_CMD_MII) \
        && !defined(BITBANGMII)
@@ -112,7 +113,7 @@ int tsec_standard_init(bd_t *bis)
 /* Initialize device structure. Returns success if PHY
  * initialization succeeded (i.e. if it recognizes the PHY)
  */
-int tsec_initialize(bd_t * bis, struct tsec_info_struct *tsec_info)
+static int tsec_initialize(bd_t * bis, struct tsec_info_struct *tsec_info)
 {
        struct eth_device *dev;
        int i;
@@ -174,7 +175,7 @@ int tsec_initialize(bd_t * bis, struct tsec_info_struct *tsec_info)
  * that it returns success if the link is up, failure otherwise.
  * This allows u-boot to find the first active controller.
  */
-int tsec_init(struct eth_device *dev, bd_t * bd)
+static int tsec_init(struct eth_device *dev, bd_t * bd)
 {
        uint tempval;
        char tmpbuf[MAC_ADDR_LEN];
@@ -235,7 +236,8 @@ static void tsec_local_mdio_write(volatile tsec_mdio_t *phyregs, uint addr,
 
 
 /* Provide the default behavior of writing the PHY of this ethernet device */
-#define write_phy_reg(priv, regnum, value) tsec_local_mdio_write(priv->phyregs,priv->phyaddr,regnum,value)
+#define write_phy_reg(priv, regnum, value) \
+       tsec_local_mdio_write(priv->phyregs,priv->phyaddr,regnum,value)
 
 /* Reads register regnum on the device's PHY through the
  * specified registers.         It lowers and raises the read
@@ -243,7 +245,8 @@ static void tsec_local_mdio_write(volatile tsec_mdio_t *phyregs, uint addr,
  * notvalid bit cleared), and the bus to cease activity (miimind
  * busy bit cleared), and then returns the value
  */
-uint tsec_local_mdio_read(volatile tsec_mdio_t *phyregs, uint phyid, uint regnum)
+static uint tsec_local_mdio_read(volatile tsec_mdio_t *phyregs,
+                               uint phyid, uint regnum)
 {
        uint value;
 
@@ -269,7 +272,8 @@ uint tsec_local_mdio_read(volatile tsec_mdio_t *phyregs, uint phyid, uint regnum
 }
 
 /* #define to provide old read_phy_reg functionality without duplicating code */
-#define read_phy_reg(priv,regnum) tsec_local_mdio_read(priv->phyregs,priv->phyaddr,regnum)
+#define read_phy_reg(priv,regnum) \
+       tsec_local_mdio_read(priv->phyregs,priv->phyaddr,regnum)
 
 #define TBIANA_SETTINGS ( \
                TBIANA_ASYMMETRIC_PAUSE \
@@ -277,17 +281,18 @@ uint tsec_local_mdio_read(volatile tsec_mdio_t *phyregs, uint phyid, uint regnum
                | TBIANA_FULL_DUPLEX \
                )
 
+/* Force the TBI PHY into 1000Mbps full duplex when in SGMII mode */
 #define TBICR_SETTINGS ( \
                TBICR_PHY_RESET \
-               | TBICR_ANEG_ENABLE \
                | TBICR_FULL_DUPLEX \
                | TBICR_SPEED1_SET \
                )
+
 /* Configure the TBI for SGMII operation */
 static void tsec_configure_serdes(struct tsec_private *priv)
 {
-       /* Access TBI PHY registers at given TSEC register offset as opposed to the
-        * register offset used for external PHY accesses */
+       /* Access TBI PHY registers at given TSEC register offset as opposed
+        * to the register offset used for external PHY accesses */
        tsec_local_mdio_write(priv->phyregs_sgmii, priv->regs->tbipa, TBI_ANA,
                        TBIANA_SETTINGS);
        tsec_local_mdio_write(priv->phyregs_sgmii, priv->regs->tbipa, TBI_TBICON,
@@ -342,7 +347,7 @@ static int init_phy(struct eth_device *dev)
  * Returns which value to write to the control register.
  * For 10/100, the value is slightly different
  */
-uint mii_cr_init(uint mii_reg, struct tsec_private * priv)
+static uint mii_cr_init(uint mii_reg, struct tsec_private * priv)
 {
        if (priv->flags & TSEC_GIGABIT)
                return MIIM_CONTROL_INIT;
@@ -353,7 +358,7 @@ uint mii_cr_init(uint mii_reg, struct tsec_private * priv)
 /*
  * Wait for auto-negotiation to complete, then determine link
  */
-uint mii_parse_sr(uint mii_reg, struct tsec_private * priv)
+static uint mii_parse_sr(uint mii_reg, struct tsec_private * priv)
 {
        /*
         * Wait if the link is up, and autonegotiation is in progress
@@ -407,7 +412,7 @@ uint mii_parse_sr(uint mii_reg, struct tsec_private * priv)
  *
  * Stolen from Linux's mii.c and phy_device.c
  */
-uint mii_parse_link(uint mii_reg, struct tsec_private *priv)
+static uint mii_parse_link(uint mii_reg, struct tsec_private *priv)
 {
        /* We're using autonegotiation */
        if (mii_reg & PHY_BMSR_AUTN_ABLE) {
@@ -476,7 +481,7 @@ uint mii_parse_link(uint mii_reg, struct tsec_private *priv)
  * link.  "Ethernet@Wirespeed" reduces advertised speed until link
  * can be achieved.
  */
-uint mii_BCM54xx_wirespeed(uint mii_reg, struct tsec_private *priv)
+static uint mii_BCM54xx_wirespeed(uint mii_reg, struct tsec_private *priv)
 {
        return (read_phy_reg(priv, mii_reg) & 0x8FFF) | 0x8010;
 }
@@ -485,61 +490,150 @@ uint mii_BCM54xx_wirespeed(uint mii_reg, struct tsec_private *priv)
  * Parse the BCM54xx status register for speed and duplex information.
  * The linux sungem_phy has this information, but in a table format.
  */
-uint mii_parse_BCM54xx_sr(uint mii_reg, struct tsec_private *priv)
+static uint mii_parse_BCM54xx_sr(uint mii_reg, struct tsec_private *priv)
 {
+       /* If there is no link, speed and duplex don't matter */
+       if (!priv->link)
+               return 0;
 
-       switch((mii_reg & MIIM_BCM54xx_AUXSTATUS_LINKMODE_MASK) >> MIIM_BCM54xx_AUXSTATUS_LINKMODE_SHIFT){
+       switch ((mii_reg & MIIM_BCM54xx_AUXSTATUS_LINKMODE_MASK) >>
+               MIIM_BCM54xx_AUXSTATUS_LINKMODE_SHIFT) {
+       case 1:
+               priv->duplexity = 0;
+               priv->speed = 10;
+               break;
+       case 2:
+               priv->duplexity = 1;
+               priv->speed = 10;
+               break;
+       case 3:
+               priv->duplexity = 0;
+               priv->speed = 100;
+               break;
+       case 5:
+               priv->duplexity = 1;
+               priv->speed = 100;
+               break;
+       case 6:
+               priv->duplexity = 0;
+               priv->speed = 1000;
+               break;
+       case 7:
+               priv->duplexity = 1;
+               priv->speed = 1000;
+               break;
+       default:
+               printf("Auto-neg error, defaulting to 10BT/HD\n");
+               priv->duplexity = 0;
+               priv->speed = 10;
+               break;
+       }
 
-               case 1:
-                       printf("Enet starting in 10BT/HD\n");
-                       priv->duplexity = 0;
-                       priv->speed = 10;
-                       break;
+       return 0;
+}
 
-               case 2:
-                       printf("Enet starting in 10BT/FD\n");
-                       priv->duplexity = 1;
-                       priv->speed = 10;
-                       break;
+/*
+ * Find out if PHY is in copper or serdes mode by looking at Expansion Reg
+ * 0x42 - "Operating Mode Status Register"
+ */
+static int BCM8482_is_serdes(struct tsec_private *priv)
+{
+       u16 val;
+       int serdes = 0;
+
+       write_phy_reg(priv, MIIM_BCM54XX_EXP_SEL, MIIM_BCM54XX_EXP_SEL_ER | 0x42);
+       val = read_phy_reg(priv, MIIM_BCM54XX_EXP_DATA);
+
+       switch (val & 0x1f) {
+       case 0x0d:      /* RGMII-to-100Base-FX */
+       case 0x0e:      /* RGMII-to-SGMII */
+       case 0x0f:      /* RGMII-to-SerDes */
+       case 0x12:      /* SGMII-to-SerDes */
+       case 0x13:      /* SGMII-to-100Base-FX */
+       case 0x16:      /* SerDes-to-Serdes */
+               serdes = 1;
+               break;
+       case 0x6:       /* RGMII-to-Copper */
+       case 0x14:      /* SGMII-to-Copper */
+       case 0x17:      /* SerDes-to-Copper */
+               break;
+       default:
+               printf("ERROR, invalid PHY mode (0x%x\n)", val);
+               break;
+       }
 
-               case 3:
-                       printf("Enet starting in 100BT/HD\n");
-                       priv->duplexity = 0;
-                       priv->speed = 100;
-                       break;
+       return serdes;
+}
 
-               case 5:
-                       printf("Enet starting in 100BT/FD\n");
-                       priv->duplexity = 1;
-                       priv->speed = 100;
-                       break;
+/*
+ * Determine SerDes link speed and duplex from Expansion reg 0x42 "Operating
+ * Mode Status Register"
+ */
+uint mii_parse_BCM5482_serdes_sr(struct tsec_private *priv)
+{
+       u16 val;
+       int i = 0;
 
-               case 6:
-                       printf("Enet starting in 1000BT/HD\n");
-                       priv->duplexity = 0;
-                       priv->speed = 1000;
-                       break;
+       /* Wait 1s for link - Clause 37 autonegotiation happens very fast */
+       while (1) {
+               write_phy_reg(priv, MIIM_BCM54XX_EXP_SEL,
+                               MIIM_BCM54XX_EXP_SEL_ER | 0x42);
+               val = read_phy_reg(priv, MIIM_BCM54XX_EXP_DATA);
 
-               case 7:
-                       printf("Enet starting in 1000BT/FD\n");
-                       priv->duplexity = 1;
-                       priv->speed = 1000;
+               if (val & 0x8000)
                        break;
 
-               default:
-                       printf("Auto-neg error, defaulting to 10BT/HD\n");
-                       priv->duplexity = 0;
-                       priv->speed = 10;
-                       break;
+               if (i++ > 1000) {
+                       priv->link = 0;
+                       return 1;
+               }
+
+               udelay(1000);   /* 1 ms */
        }
 
+       priv->link = 1;
+       switch ((val >> 13) & 0x3) {
+       case (0x00):
+               priv->speed = 10;
+               break;
+       case (0x01):
+               priv->speed = 100;
+               break;
+       case (0x02):
+               priv->speed = 1000;
+               break;
+       }
+
+       priv->duplexity = (val & 0x1000) == 0x1000;
+
        return 0;
+}
+
+/*
+ * Figure out if BCM5482 is in serdes or copper mode and determine link
+ * configuration accordingly
+ */
+static uint mii_parse_BCM5482_sr(uint mii_reg, struct tsec_private *priv)
+{
+       if (BCM8482_is_serdes(priv)) {
+               mii_parse_BCM5482_serdes_sr(priv);
+               priv->flags |= TSEC_FIBER;
+       } else {
+               /* Wait for auto-negotiation to complete or fail */
+               mii_parse_sr(mii_reg, priv);
 
+               /* Parse BCM54xx copper aux status register */
+               mii_reg = read_phy_reg(priv, MIIM_BCM54xx_AUXSTATUS);
+               mii_parse_BCM54xx_sr(mii_reg, priv);
+       }
+
+       return 0;
 }
+
 /* Parse the 88E1011's status register for speed and duplex
  * information
  */
-uint mii_parse_88E1011_psr(uint mii_reg, struct tsec_private * priv)
+static uint mii_parse_88E1011_psr(uint mii_reg, struct tsec_private * priv)
 {
        uint speed;
 
@@ -597,7 +691,7 @@ uint mii_parse_88E1011_psr(uint mii_reg, struct tsec_private * priv)
 /* Parse the RTL8211B's status register for speed and duplex
  * information
  */
-uint mii_parse_RTL8211B_sr(uint mii_reg, struct tsec_private * priv)
+static uint mii_parse_RTL8211B_sr(uint mii_reg, struct tsec_private * priv)
 {
        uint speed;
 
@@ -655,7 +749,7 @@ uint mii_parse_RTL8211B_sr(uint mii_reg, struct tsec_private * priv)
 /* Parse the cis8201's status register for speed and duplex
  * information
  */
-uint mii_parse_cis8201(uint mii_reg, struct tsec_private * priv)
+static uint mii_parse_cis8201(uint mii_reg, struct tsec_private * priv)
 {
        uint speed;
 
@@ -683,7 +777,7 @@ uint mii_parse_cis8201(uint mii_reg, struct tsec_private * priv)
 /* Parse the vsc8244's status register for speed and duplex
  * information
  */
-uint mii_parse_vsc8244(uint mii_reg, struct tsec_private * priv)
+static uint mii_parse_vsc8244(uint mii_reg, struct tsec_private * priv)
 {
        uint speed;
 
@@ -711,7 +805,7 @@ uint mii_parse_vsc8244(uint mii_reg, struct tsec_private * priv)
 /* Parse the DM9161's status register for speed and duplex
  * information
  */
-uint mii_parse_dm9161_scsr(uint mii_reg, struct tsec_private * priv)
+static uint mii_parse_dm9161_scsr(uint mii_reg, struct tsec_private * priv)
 {
        if (mii_reg & (MIIM_DM9161_SCSR_100F | MIIM_DM9161_SCSR_100H))
                priv->speed = 100;
@@ -729,7 +823,7 @@ uint mii_parse_dm9161_scsr(uint mii_reg, struct tsec_private * priv)
 /*
  * Hack to write all 4 PHYs with the LED values
  */
-uint mii_cis8204_fixled(uint mii_reg, struct tsec_private * priv)
+static uint mii_cis8204_fixled(uint mii_reg, struct tsec_private * priv)
 {
        uint phyid;
        volatile tsec_mdio_t *regbase = priv->phyregs;
@@ -747,7 +841,7 @@ uint mii_cis8204_fixled(uint mii_reg, struct tsec_private * priv)
        return MIIM_CIS8204_SLEDCON_INIT;
 }
 
-uint mii_cis8204_setmode(uint mii_reg, struct tsec_private * priv)
+static uint mii_cis8204_setmode(uint mii_reg, struct tsec_private * priv)
 {
        if (priv->flags & TSEC_REDUCED)
                return MIIM_CIS8204_EPHYCON_INIT | MIIM_CIS8204_EPHYCON_RGMII;
@@ -755,7 +849,7 @@ uint mii_cis8204_setmode(uint mii_reg, struct tsec_private * priv)
                return MIIM_CIS8204_EPHYCON_INIT;
 }
 
-uint mii_m88e1111s_setmode(uint mii_reg, struct tsec_private *priv)
+static uint mii_m88e1111s_setmode(uint mii_reg, struct tsec_private *priv)
 {
        uint mii_data = read_phy_reg(priv, mii_reg);
 
@@ -847,8 +941,9 @@ static void adjust_link(struct eth_device *dev)
                        break;
                }
 
-               printf("Speed: %d, %s duplex\n", priv->speed,
-                      (priv->duplexity) ? "full" : "half");
+               printf("Speed: %d, %s duplex%s\n", priv->speed,
+                      (priv->duplexity) ? "full" : "half",
+                      (priv->flags & TSEC_FIBER) ? ", fiber mode" : "");
 
        } else {
                printf("%s: No link.\n", dev->name);
@@ -996,11 +1091,11 @@ static void tsec_halt(struct eth_device *dev)
                phy_run_commands(priv, priv->phyinfo->shutdown);
 }
 
-struct phy_info phy_info_M88E1149S = {
+static struct phy_info phy_info_M88E1149S = {
        0x1410ca,
        "Marvell 88E1149S",
        4,
-       (struct phy_cmd[]){     /* config */
+       (struct phy_cmd[]) {     /* config */
                /* Reset and configure the PHY */
                {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
                {0x1d, 0x1f, NULL},
@@ -1014,23 +1109,22 @@ struct phy_info phy_info_M88E1149S = {
                {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
                {miim_end,}
        },
-       (struct phy_cmd[]){     /* startup */
+       (struct phy_cmd[]) {     /* startup */
                /* Status is read once to clear old link state */
                {MIIM_STATUS, miim_read, NULL},
                /* Auto-negotiate */
                {MIIM_STATUS, miim_read, &mii_parse_sr},
                /* Read the status */
-               {MIIM_88E1011_PHY_STATUS, miim_read,
-                &mii_parse_88E1011_psr},
+               {MIIM_88E1011_PHY_STATUS, miim_read, &mii_parse_88E1011_psr},
                {miim_end,}
        },
-       (struct phy_cmd[]){     /* shutdown */
+       (struct phy_cmd[]) {     /* shutdown */
                {miim_end,}
        },
 };
 
 /* The 5411 id is 0x206070, the 5421 is 0x2060e0 */
-struct phy_info phy_info_BCM5461S = {
+static struct phy_info phy_info_BCM5461S = {
        0x02060c1,      /* 5461 ID */
        "Broadcom BCM5461S",
        0, /* not clear to me what minor revisions we can shift away */
@@ -1057,7 +1151,7 @@ struct phy_info phy_info_BCM5461S = {
        },
 };
 
-struct phy_info phy_info_BCM5464S = {
+static struct phy_info phy_info_BCM5464S = {
        0x02060b1,      /* 5464 ID */
        "Broadcom BCM5464S",
        0, /* not clear to me what minor revisions we can shift away */
@@ -1084,7 +1178,7 @@ struct phy_info phy_info_BCM5464S = {
        },
 };
 
-struct phy_info phy_info_BCM5482S =  {
+static struct phy_info phy_info_BCM5482S =  {
        0x0143bcb,
        "Broadcom BCM5482S",
        4,
@@ -1096,15 +1190,20 @@ struct phy_info phy_info_BCM5482S =  {
                /* Read Misc Control register and or in Ethernet@Wirespeed */
                {MIIM_BCM54xx_AUXCNTL, 0, &mii_BCM54xx_wirespeed},
                {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
+               /* Initial config/enable of secondary SerDes interface */
+               {MIIM_BCM54XX_SHD, MIIM_BCM54XX_SHD_WR_ENCODE(0x14, 0xf), NULL},
+               /* Write intial value to secondary SerDes Contol */
+               {MIIM_BCM54XX_EXP_SEL, MIIM_BCM54XX_EXP_SEL_SSD | 0, NULL},
+               {MIIM_BCM54XX_EXP_DATA, MIIM_CONTROL_RESTART, NULL},
+               /* Enable copper/fiber auto-detect */
+               {MIIM_BCM54XX_SHD, MIIM_BCM54XX_SHD_WR_ENCODE(0x1e, 0x201)},
                {miim_end,}
        },
        (struct phy_cmd[]) { /* startup */
                /* Status is read once to clear old link state */
                {MIIM_STATUS, miim_read, NULL},
-               /* Auto-negotiate */
-               {MIIM_STATUS, miim_read, &mii_parse_sr},
-               /* Read the status */
-               {MIIM_BCM54xx_AUXSTATUS, miim_read, &mii_parse_BCM54xx_sr},
+               /* Determine copper/fiber, auto-negotiate, and read the result */
+               {MIIM_STATUS, miim_read, &mii_parse_BCM5482_sr},
                {miim_end,}
        },
        (struct phy_cmd[]) { /* shutdown */
@@ -1112,74 +1211,72 @@ struct phy_info phy_info_BCM5482S =  {
        },
 };
 
-struct phy_info phy_info_M88E1011S = {
+static struct phy_info phy_info_M88E1011S = {
        0x01410c6,
        "Marvell 88E1011S",
        4,
-       (struct phy_cmd[]){     /* config */
-                          /* Reset and configure the PHY */
-                          {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
-                          {0x1d, 0x1f, NULL},
-                          {0x1e, 0x200c, NULL},
-                          {0x1d, 0x5, NULL},
-                          {0x1e, 0x0, NULL},
-                          {0x1e, 0x100, NULL},
-                          {MIIM_GBIT_CONTROL, MIIM_GBIT_CONTROL_INIT, NULL},
-                          {MIIM_ANAR, MIIM_ANAR_INIT, NULL},
-                          {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
-                          {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* startup */
-                          /* Status is read once to clear old link state */
-                          {MIIM_STATUS, miim_read, NULL},
-                          /* Auto-negotiate */
-                          {MIIM_STATUS, miim_read, &mii_parse_sr},
-                          /* Read the status */
-                          {MIIM_88E1011_PHY_STATUS, miim_read,
-                           &mii_parse_88E1011_psr},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* shutdown */
-                          {miim_end,}
-                          },
+       (struct phy_cmd[]) {    /* config */
+               /* Reset and configure the PHY */
+               {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
+               {0x1d, 0x1f, NULL},
+               {0x1e, 0x200c, NULL},
+               {0x1d, 0x5, NULL},
+               {0x1e, 0x0, NULL},
+               {0x1e, 0x100, NULL},
+               {MIIM_GBIT_CONTROL, MIIM_GBIT_CONTROL_INIT, NULL},
+               {MIIM_ANAR, MIIM_ANAR_INIT, NULL},
+               {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
+               {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* startup */
+               /* Status is read once to clear old link state */
+               {MIIM_STATUS, miim_read, NULL},
+               /* Auto-negotiate */
+               {MIIM_STATUS, miim_read, &mii_parse_sr},
+               /* Read the status */
+               {MIIM_88E1011_PHY_STATUS, miim_read, &mii_parse_88E1011_psr},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* shutdown */
+               {miim_end,}
+       },
 };
 
-struct phy_info phy_info_M88E1111S = {
+static struct phy_info phy_info_M88E1111S = {
        0x01410cc,
        "Marvell 88E1111S",
        4,
-       (struct phy_cmd[]){     /* config */
-                          /* Reset and configure the PHY */
-                          {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
-                          {0x1b, 0x848f, &mii_m88e1111s_setmode},
-                          {0x14, 0x0cd2, NULL}, /* Delay RGMII TX and RX */
-                          {MIIM_GBIT_CONTROL, MIIM_GBIT_CONTROL_INIT, NULL},
-                          {MIIM_ANAR, MIIM_ANAR_INIT, NULL},
-                          {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
-                          {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* startup */
-                          /* Status is read once to clear old link state */
-                          {MIIM_STATUS, miim_read, NULL},
-                          /* Auto-negotiate */
-                          {MIIM_STATUS, miim_read, &mii_parse_sr},
-                          /* Read the status */
-                          {MIIM_88E1011_PHY_STATUS, miim_read,
-                           &mii_parse_88E1011_psr},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* shutdown */
-                          {miim_end,}
-                          },
+       (struct phy_cmd[]) {    /* config */
+               /* Reset and configure the PHY */
+               {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
+               {0x1b, 0x848f, &mii_m88e1111s_setmode},
+               {0x14, 0x0cd2, NULL}, /* Delay RGMII TX and RX */
+               {MIIM_GBIT_CONTROL, MIIM_GBIT_CONTROL_INIT, NULL},
+               {MIIM_ANAR, MIIM_ANAR_INIT, NULL},
+               {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
+               {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* startup */
+               /* Status is read once to clear old link state */
+               {MIIM_STATUS, miim_read, NULL},
+               /* Auto-negotiate */
+               {MIIM_STATUS, miim_read, &mii_parse_sr},
+               /* Read the status */
+               {MIIM_88E1011_PHY_STATUS, miim_read, &mii_parse_88E1011_psr},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* shutdown */
+               {miim_end,}
+       },
 };
 
-struct phy_info phy_info_M88E1118 = {
+static struct phy_info phy_info_M88E1118 = {
        0x01410e1,
        "Marvell 88E1118",
        4,
-       (struct phy_cmd[])    /* config */
+       (struct phy_cmd[]) {    /* config */
                /* Reset and configure the PHY */
                {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
                {0x16, 0x0002, NULL}, /* Change Page Number */
@@ -1192,8 +1289,8 @@ struct phy_info phy_info_M88E1118 = {
                {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
                {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
                {miim_end,}
-               },
-       (struct phy_cmd[])    /* startup */
+       },
+       (struct phy_cmd[]) {    /* startup */
                {0x16, 0x0000, NULL}, /* Change Page Number */
                /* Status is read once to clear old link state */
                {MIIM_STATUS, miim_read, NULL},
@@ -1203,17 +1300,17 @@ struct phy_info phy_info_M88E1118 = {
                {MIIM_88E1011_PHY_STATUS, miim_read,
                 &mii_parse_88E1011_psr},
                {miim_end,}
-               },
-       (struct phy_cmd[])    /* shutdown */
+       },
+       (struct phy_cmd[]) {    /* shutdown */
                {miim_end,}
-               },
+       },
 };
 
 /*
  *  Since to access LED register we need do switch the page, we
  * do LED configuring in the miim_read-like function as follows
  */
-uint mii_88E1121_set_led (uint mii_reg, struct tsec_private *priv)
+static uint mii_88E1121_set_led (uint mii_reg, struct tsec_private *priv)
 {
        uint pg;
 
@@ -1230,34 +1327,33 @@ uint mii_88E1121_set_led (uint mii_reg, struct tsec_private *priv)
        return 0;
 }
 
-struct phy_info phy_info_M88E1121R = {
+static struct phy_info phy_info_M88E1121R = {
        0x01410cb,
        "Marvell 88E1121R",
        4,
-       (struct phy_cmd[]){     /* config */
-                          /* Reset and configure the PHY */
-                          {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
-                          {MIIM_GBIT_CONTROL, MIIM_GBIT_CONTROL_INIT, NULL},
-                          {MIIM_ANAR, MIIM_ANAR_INIT, NULL},
-                          /* Configure leds */
-                          {MIIM_88E1121_PHY_LED_CTRL, miim_read,
-                           &mii_88E1121_set_led},
-                          {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
-                          /* Disable IRQs and de-assert interrupt */
-                          {MIIM_88E1121_PHY_IRQ_EN, 0, NULL},
-                          {MIIM_88E1121_PHY_IRQ_STATUS, miim_read, NULL},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* startup */
-                          /* Status is read once to clear old link state */
-                          {MIIM_STATUS, miim_read, NULL},
-                          {MIIM_STATUS, miim_read, &mii_parse_sr},
-                          {MIIM_STATUS, miim_read, &mii_parse_link},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* shutdown */
-                          {miim_end,}
-                          },
+       (struct phy_cmd[]) {    /* config */
+               /* Reset and configure the PHY */
+               {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
+               {MIIM_GBIT_CONTROL, MIIM_GBIT_CONTROL_INIT, NULL},
+               {MIIM_ANAR, MIIM_ANAR_INIT, NULL},
+               /* Configure leds */
+               {MIIM_88E1121_PHY_LED_CTRL, miim_read, &mii_88E1121_set_led},
+               {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
+               /* Disable IRQs and de-assert interrupt */
+               {MIIM_88E1121_PHY_IRQ_EN, 0, NULL},
+               {MIIM_88E1121_PHY_IRQ_STATUS, miim_read, NULL},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* startup */
+               /* Status is read once to clear old link state */
+               {MIIM_STATUS, miim_read, NULL},
+               {MIIM_STATUS, miim_read, &mii_parse_sr},
+               {MIIM_STATUS, miim_read, &mii_parse_link},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* shutdown */
+               {miim_end,}
+       },
 };
 
 static unsigned int m88e1145_setmode(uint mii_reg, struct tsec_private *priv)
@@ -1276,276 +1372,262 @@ static struct phy_info phy_info_M88E1145 = {
        0x01410cd,
        "Marvell 88E1145",
        4,
-       (struct phy_cmd[]){     /* config */
-                          /* Reset the PHY */
-                          {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
-
-                          /* Errata E0, E1 */
-                          {29, 0x001b, NULL},
-                          {30, 0x418f, NULL},
-                          {29, 0x0016, NULL},
-                          {30, 0xa2da, NULL},
-
-                          /* Configure the PHY */
-                          {MIIM_GBIT_CONTROL, MIIM_GBIT_CONTROL_INIT, NULL},
-                          {MIIM_ANAR, MIIM_ANAR_INIT, NULL},
-                          {MIIM_88E1011_PHY_SCR, MIIM_88E1011_PHY_MDI_X_AUTO,
-                           NULL},
-                          {MIIM_88E1145_PHY_EXT_CR, 0, &m88e1145_setmode},
-                          {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
-                          {MIIM_CONTROL, MIIM_CONTROL_INIT, NULL},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* startup */
-                          /* Status is read once to clear old link state */
-                          {MIIM_STATUS, miim_read, NULL},
-                          /* Auto-negotiate */
-                          {MIIM_STATUS, miim_read, &mii_parse_sr},
-                          {MIIM_88E1111_PHY_LED_CONTROL,
-                           MIIM_88E1111_PHY_LED_DIRECT, NULL},
-                          /* Read the Status */
-                          {MIIM_88E1011_PHY_STATUS, miim_read,
-                           &mii_parse_88E1011_psr},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* shutdown */
-                          {miim_end,}
-                          },
+       (struct phy_cmd[]) {    /* config */
+               /* Reset the PHY */
+               {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
+
+               /* Errata E0, E1 */
+               {29, 0x001b, NULL},
+               {30, 0x418f, NULL},
+               {29, 0x0016, NULL},
+               {30, 0xa2da, NULL},
+
+               /* Configure the PHY */
+               {MIIM_GBIT_CONTROL, MIIM_GBIT_CONTROL_INIT, NULL},
+               {MIIM_ANAR, MIIM_ANAR_INIT, NULL},
+               {MIIM_88E1011_PHY_SCR, MIIM_88E1011_PHY_MDI_X_AUTO, NULL},
+               {MIIM_88E1145_PHY_EXT_CR, 0, &m88e1145_setmode},
+               {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
+               {MIIM_CONTROL, MIIM_CONTROL_INIT, NULL},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* startup */
+               /* Status is read once to clear old link state */
+               {MIIM_STATUS, miim_read, NULL},
+               /* Auto-negotiate */
+               {MIIM_STATUS, miim_read, &mii_parse_sr},
+               {MIIM_88E1111_PHY_LED_CONTROL, MIIM_88E1111_PHY_LED_DIRECT, NULL},
+               /* Read the Status */
+               {MIIM_88E1011_PHY_STATUS, miim_read, &mii_parse_88E1011_psr},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* shutdown */
+               {miim_end,}
+       },
 };
 
-struct phy_info phy_info_cis8204 = {
+static struct phy_info phy_info_cis8204 = {
        0x3f11,
        "Cicada Cis8204",
        6,
-       (struct phy_cmd[]){     /* config */
-                          /* Override PHY config settings */
-                          {MIIM_CIS8201_AUX_CONSTAT,
-                           MIIM_CIS8201_AUXCONSTAT_INIT, NULL},
-                          /* Configure some basic stuff */
-                          {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
-                          {MIIM_CIS8204_SLED_CON, MIIM_CIS8204_SLEDCON_INIT,
-                           &mii_cis8204_fixled},
-                          {MIIM_CIS8204_EPHY_CON, MIIM_CIS8204_EPHYCON_INIT,
-                           &mii_cis8204_setmode},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* startup */
-                          /* Read the Status (2x to make sure link is right) */
-                          {MIIM_STATUS, miim_read, NULL},
-                          /* Auto-negotiate */
-                          {MIIM_STATUS, miim_read, &mii_parse_sr},
-                          /* Read the status */
-                          {MIIM_CIS8201_AUX_CONSTAT, miim_read,
-                           &mii_parse_cis8201},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* shutdown */
-                          {miim_end,}
-                          },
+       (struct phy_cmd[]) {    /* config */
+               /* Override PHY config settings */
+               {MIIM_CIS8201_AUX_CONSTAT, MIIM_CIS8201_AUXCONSTAT_INIT, NULL},
+               /* Configure some basic stuff */
+               {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
+               {MIIM_CIS8204_SLED_CON, MIIM_CIS8204_SLEDCON_INIT,
+                &mii_cis8204_fixled},
+               {MIIM_CIS8204_EPHY_CON, MIIM_CIS8204_EPHYCON_INIT,
+                &mii_cis8204_setmode},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* startup */
+               /* Read the Status (2x to make sure link is right) */
+               {MIIM_STATUS, miim_read, NULL},
+               /* Auto-negotiate */
+               {MIIM_STATUS, miim_read, &mii_parse_sr},
+               /* Read the status */
+               {MIIM_CIS8201_AUX_CONSTAT, miim_read, &mii_parse_cis8201},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* shutdown */
+               {miim_end,}
+       },
 };
 
 /* Cicada 8201 */
-struct phy_info phy_info_cis8201 = {
+static struct phy_info phy_info_cis8201 = {
        0xfc41,
        "CIS8201",
        4,
-       (struct phy_cmd[]){     /* config */
-                          /* Override PHY config settings */
-                          {MIIM_CIS8201_AUX_CONSTAT,
-                           MIIM_CIS8201_AUXCONSTAT_INIT, NULL},
-                          /* Set up the interface mode */
-                          {MIIM_CIS8201_EXT_CON1, MIIM_CIS8201_EXTCON1_INIT,
-                           NULL},
-                          /* Configure some basic stuff */
-                          {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* startup */
-                          /* Read the Status (2x to make sure link is right) */
-                          {MIIM_STATUS, miim_read, NULL},
-                          /* Auto-negotiate */
-                          {MIIM_STATUS, miim_read, &mii_parse_sr},
-                          /* Read the status */
-                          {MIIM_CIS8201_AUX_CONSTAT, miim_read,
-                           &mii_parse_cis8201},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* shutdown */
-                          {miim_end,}
-                          },
+       (struct phy_cmd[]) {    /* config */
+               /* Override PHY config settings */
+               {MIIM_CIS8201_AUX_CONSTAT, MIIM_CIS8201_AUXCONSTAT_INIT, NULL},
+               /* Set up the interface mode */
+               {MIIM_CIS8201_EXT_CON1, MIIM_CIS8201_EXTCON1_INIT, NULL},
+               /* Configure some basic stuff */
+               {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* startup */
+               /* Read the Status (2x to make sure link is right) */
+               {MIIM_STATUS, miim_read, NULL},
+               /* Auto-negotiate */
+               {MIIM_STATUS, miim_read, &mii_parse_sr},
+               /* Read the status */
+               {MIIM_CIS8201_AUX_CONSTAT, miim_read, &mii_parse_cis8201},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* shutdown */
+               {miim_end,}
+       },
 };
-struct phy_info phy_info_VSC8211 = {
+
+static struct phy_info phy_info_VSC8211 = {
        0xfc4b,
        "Vitesse VSC8211",
        4,
        (struct phy_cmd[]) { /* config */
-                          /* Override PHY config settings */
-                          {MIIM_CIS8201_AUX_CONSTAT,
-                           MIIM_CIS8201_AUXCONSTAT_INIT, NULL},
-                          /* Set up the interface mode */
-                          {MIIM_CIS8201_EXT_CON1,
-                           MIIM_CIS8201_EXTCON1_INIT, NULL},
-                          /* Configure some basic stuff */
-                          {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
-                          {miim_end,}
-                          },
+               /* Override PHY config settings */
+               {MIIM_CIS8201_AUX_CONSTAT, MIIM_CIS8201_AUXCONSTAT_INIT, NULL},
+               /* Set up the interface mode */
+               {MIIM_CIS8201_EXT_CON1, MIIM_CIS8201_EXTCON1_INIT, NULL},
+               /* Configure some basic stuff */
+               {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
+               {miim_end,}
+       },
        (struct phy_cmd[]) { /* startup */
-                          /* Read the Status (2x to make sure link is right) */
-                          {MIIM_STATUS, miim_read, NULL},
-                          /* Auto-negotiate */
-                          {MIIM_STATUS, miim_read, &mii_parse_sr},
-                          /* Read the status */
-                          {MIIM_CIS8201_AUX_CONSTAT, miim_read,
-                           &mii_parse_cis8201},
-                          {miim_end,}
-                          },
+               /* Read the Status (2x to make sure link is right) */
+               {MIIM_STATUS, miim_read, NULL},
+               /* Auto-negotiate */
+               {MIIM_STATUS, miim_read, &mii_parse_sr},
+               /* Read the status */
+               {MIIM_CIS8201_AUX_CONSTAT, miim_read, &mii_parse_cis8201},
+               {miim_end,}
+       },
        (struct phy_cmd[]) { /* shutdown */
-                          {miim_end,}
+               {miim_end,}
        },
 };
-struct phy_info phy_info_VSC8244 = {
+
+static struct phy_info phy_info_VSC8244 = {
        0x3f1b,
        "Vitesse VSC8244",
        6,
-       (struct phy_cmd[]){     /* config */
-                          /* Override PHY config settings */
-                          /* Configure some basic stuff */
-                          {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* startup */
-                          /* Read the Status (2x to make sure link is right) */
-                          {MIIM_STATUS, miim_read, NULL},
-                          /* Auto-negotiate */
-                          {MIIM_STATUS, miim_read, &mii_parse_sr},
-                          /* Read the status */
-                          {MIIM_VSC8244_AUX_CONSTAT, miim_read,
-                           &mii_parse_vsc8244},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* shutdown */
-                          {miim_end,}
-                          },
+       (struct phy_cmd[]) {    /* config */
+               /* Override PHY config settings */
+               /* Configure some basic stuff */
+               {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* startup */
+               /* Read the Status (2x to make sure link is right) */
+               {MIIM_STATUS, miim_read, NULL},
+               /* Auto-negotiate */
+               {MIIM_STATUS, miim_read, &mii_parse_sr},
+               /* Read the status */
+               {MIIM_VSC8244_AUX_CONSTAT, miim_read, &mii_parse_vsc8244},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* shutdown */
+               {miim_end,}
+       },
 };
 
-struct phy_info phy_info_VSC8641 = {
+static struct phy_info phy_info_VSC8641 = {
        0x7043,
        "Vitesse VSC8641",
        4,
-       (struct phy_cmd[]){     /* config */
-                          /* Configure some basic stuff */
-                          {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* startup */
-                          /* Read the Status (2x to make sure link is right) */
-                          {MIIM_STATUS, miim_read, NULL},
-                          /* Auto-negotiate */
-                          {MIIM_STATUS, miim_read, &mii_parse_sr},
-                          /* Read the status */
-                          {MIIM_VSC8244_AUX_CONSTAT, miim_read,
-                           &mii_parse_vsc8244},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* shutdown */
-                          {miim_end,}
-                          },
+       (struct phy_cmd[]) {    /* config */
+               /* Configure some basic stuff */
+               {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* startup */
+               /* Read the Status (2x to make sure link is right) */
+               {MIIM_STATUS, miim_read, NULL},
+               /* Auto-negotiate */
+               {MIIM_STATUS, miim_read, &mii_parse_sr},
+               /* Read the status */
+               {MIIM_VSC8244_AUX_CONSTAT, miim_read, &mii_parse_vsc8244},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* shutdown */
+               {miim_end,}
+       },
 };
 
-struct phy_info phy_info_VSC8221 = {
+static struct phy_info phy_info_VSC8221 = {
        0xfc55,
        "Vitesse VSC8221",
        4,
-       (struct phy_cmd[]){     /* config */
-                          /* Configure some basic stuff */
-                          {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* startup */
-                          /* Read the Status (2x to make sure link is right) */
-                          {MIIM_STATUS, miim_read, NULL},
-                          /* Auto-negotiate */
-                          {MIIM_STATUS, miim_read, &mii_parse_sr},
-                          /* Read the status */
-                          {MIIM_VSC8244_AUX_CONSTAT, miim_read,
-                           &mii_parse_vsc8244},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* shutdown */
-                          {miim_end,}
-                          },
+       (struct phy_cmd[]) {    /* config */
+               /* Configure some basic stuff */
+               {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* startup */
+               /* Read the Status (2x to make sure link is right) */
+               {MIIM_STATUS, miim_read, NULL},
+               /* Auto-negotiate */
+               {MIIM_STATUS, miim_read, &mii_parse_sr},
+               /* Read the status */
+               {MIIM_VSC8244_AUX_CONSTAT, miim_read, &mii_parse_vsc8244},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* shutdown */
+               {miim_end,}
+       },
 };
 
-struct phy_info phy_info_VSC8601 = {
-               0x00007042,
-               "Vitesse VSC8601",
-               4,
-               (struct phy_cmd[]){     /* config */
-                               /* Override PHY config settings */
-                               /* Configure some basic stuff */
-                               {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
+static struct phy_info phy_info_VSC8601 = {
+       0x00007042,
+       "Vitesse VSC8601",
+       4,
+       (struct phy_cmd[]) {     /* config */
+               /* Override PHY config settings */
+               /* Configure some basic stuff */
+               {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
 #ifdef CONFIG_SYS_VSC8601_SKEWFIX
-                               {MIIM_VSC8601_EPHY_CON,MIIM_VSC8601_EPHY_CON_INIT_SKEW,NULL},
+               {MIIM_VSC8601_EPHY_CON,MIIM_VSC8601_EPHY_CON_INIT_SKEW,NULL},
 #if defined(CONFIG_SYS_VSC8601_SKEW_TX) && defined(CONFIG_SYS_VSC8601_SKEW_RX)
-                               {MIIM_EXT_PAGE_ACCESS,1,NULL},
-#define VSC8101_SKEW   (CONFIG_SYS_VSC8601_SKEW_TX<<14)|(CONFIG_SYS_VSC8601_SKEW_RX<<12)
-                               {MIIM_VSC8601_SKEW_CTRL,VSC8101_SKEW,NULL},
-                               {MIIM_EXT_PAGE_ACCESS,0,NULL},
+               {MIIM_EXT_PAGE_ACCESS,1,NULL},
+#define VSC8101_SKEW \
+       (CONFIG_SYS_VSC8601_SKEW_TX << 14) | (CONFIG_SYS_VSC8601_SKEW_RX << 12)
+               {MIIM_VSC8601_SKEW_CTRL,VSC8101_SKEW,NULL},
+               {MIIM_EXT_PAGE_ACCESS,0,NULL},
 #endif
 #endif
-                               {MIIM_ANAR, MIIM_ANAR_INIT, NULL},
-                               {MIIM_CONTROL, MIIM_CONTROL_RESTART, &mii_cr_init},
-                               {miim_end,}
-                                },
-               (struct phy_cmd[]){     /* startup */
-                               /* Read the Status (2x to make sure link is right) */
-                               {MIIM_STATUS, miim_read, NULL},
-                               /* Auto-negotiate */
-                               {MIIM_STATUS, miim_read, &mii_parse_sr},
-                               /* Read the status */
-                               {MIIM_VSC8244_AUX_CONSTAT, miim_read,
-                                               &mii_parse_vsc8244},
-                               {miim_end,}
-                               },
-               (struct phy_cmd[]){     /* shutdown */
-                               {miim_end,}
-                               },
+               {MIIM_ANAR, MIIM_ANAR_INIT, NULL},
+               {MIIM_CONTROL, MIIM_CONTROL_RESTART, &mii_cr_init},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {     /* startup */
+               /* Read the Status (2x to make sure link is right) */
+               {MIIM_STATUS, miim_read, NULL},
+               /* Auto-negotiate */
+               {MIIM_STATUS, miim_read, &mii_parse_sr},
+               /* Read the status */
+               {MIIM_VSC8244_AUX_CONSTAT, miim_read, &mii_parse_vsc8244},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {     /* shutdown */
+               {miim_end,}
+       },
 };
 
-
-struct phy_info phy_info_dm9161 = {
+static struct phy_info phy_info_dm9161 = {
        0x0181b88,
        "Davicom DM9161E",
        4,
-       (struct phy_cmd[]){     /* config */
-                          {MIIM_CONTROL, MIIM_DM9161_CR_STOP, NULL},
-                          /* Do not bypass the scrambler/descrambler */
-                          {MIIM_DM9161_SCR, MIIM_DM9161_SCR_INIT, NULL},
-                          /* Clear 10BTCSR to default */
-                          {MIIM_DM9161_10BTCSR, MIIM_DM9161_10BTCSR_INIT,
-                           NULL},
-                          /* Configure some basic stuff */
-                          {MIIM_CONTROL, MIIM_CR_INIT, NULL},
-                          /* Restart Auto Negotiation */
-                          {MIIM_CONTROL, MIIM_DM9161_CR_RSTAN, NULL},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* startup */
-                          /* Status is read once to clear old link state */
-                          {MIIM_STATUS, miim_read, NULL},
-                          /* Auto-negotiate */
-                          {MIIM_STATUS, miim_read, &mii_parse_sr},
-                          /* Read the status */
-                          {MIIM_DM9161_SCSR, miim_read,
-                           &mii_parse_dm9161_scsr},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* shutdown */
-                          {miim_end,}
-                          },
+       (struct phy_cmd[]) {    /* config */
+               {MIIM_CONTROL, MIIM_DM9161_CR_STOP, NULL},
+               /* Do not bypass the scrambler/descrambler */
+               {MIIM_DM9161_SCR, MIIM_DM9161_SCR_INIT, NULL},
+               /* Clear 10BTCSR to default */
+               {MIIM_DM9161_10BTCSR, MIIM_DM9161_10BTCSR_INIT, NULL},
+               /* Configure some basic stuff */
+               {MIIM_CONTROL, MIIM_CR_INIT, NULL},
+               /* Restart Auto Negotiation */
+               {MIIM_CONTROL, MIIM_DM9161_CR_RSTAN, NULL},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* startup */
+               /* Status is read once to clear old link state */
+               {MIIM_STATUS, miim_read, NULL},
+               /* Auto-negotiate */
+               {MIIM_STATUS, miim_read, &mii_parse_sr},
+               /* Read the status */
+               {MIIM_DM9161_SCSR, miim_read, &mii_parse_dm9161_scsr},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* shutdown */
+               {miim_end,}
+       },
 };
+
 /* a generic flavor.  */
-struct phy_info phy_info_generic =  {
+static struct phy_info phy_info_generic =  {
        0,
        "Unknown/Generic PHY",
        32,
@@ -1565,8 +1647,7 @@ struct phy_info phy_info_generic =  {
        }
 };
 
-
-uint mii_parse_lxt971_sr2(uint mii_reg, struct tsec_private *priv)
+static uint mii_parse_lxt971_sr2(uint mii_reg, struct tsec_private *priv)
 {
        unsigned int speed;
        if (priv->link) {
@@ -1601,26 +1682,26 @@ static struct phy_info phy_info_lxt971 = {
        0x0001378e,
        "LXT971",
        4,
-       (struct phy_cmd[])    /* config */
-                          {MIIM_CR, MIIM_CR_INIT, mii_cr_init},        /* autonegotiate */
-                          {miim_end,}
-                          },
-       (struct phy_cmd[])    /* startup - enable interrupts */
-                          /* { 0x12, 0x00f2, NULL }, */
-                          {MIIM_STATUS, miim_read, NULL},
-                          {MIIM_STATUS, miim_read, &mii_parse_sr},
-                          {MIIM_LXT971_SR2, miim_read, &mii_parse_lxt971_sr2},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[])    /* shutdown - disable interrupts */
-                          {miim_end,}
-                          },
+       (struct phy_cmd[]) {    /* config */
+               {MIIM_CR, MIIM_CR_INIT, mii_cr_init},   /* autonegotiate */
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* startup - enable interrupts */
+               /* { 0x12, 0x00f2, NULL }, */
+               {MIIM_STATUS, miim_read, NULL},
+               {MIIM_STATUS, miim_read, &mii_parse_sr},
+               {MIIM_LXT971_SR2, miim_read, &mii_parse_lxt971_sr2},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* shutdown - disable interrupts */
+               {miim_end,}
+       },
 };
 
 /* Parse the DP83865's link and auto-neg status register for speed and duplex
  * information
  */
-uint mii_parse_dp83865_lanr(uint mii_reg, struct tsec_private *priv)
+static uint mii_parse_dp83865_lanr(uint mii_reg, struct tsec_private *priv)
 {
        switch (mii_reg & MIIM_DP83865_SPD_MASK) {
 
@@ -1646,34 +1727,33 @@ uint mii_parse_dp83865_lanr(uint mii_reg, struct tsec_private *priv)
        return 0;
 }
 
-struct phy_info phy_info_dp83865 = {
+static struct phy_info phy_info_dp83865 = {
        0x20005c7,
        "NatSemi DP83865",
        4,
-       (struct phy_cmd[]){     /* config */
-                          {MIIM_CONTROL, MIIM_DP83865_CR_INIT, NULL},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* startup */
-                          /* Status is read once to clear old link state */
-                          {MIIM_STATUS, miim_read, NULL},
-                          /* Auto-negotiate */
-                          {MIIM_STATUS, miim_read, &mii_parse_sr},
-                          /* Read the link and auto-neg status */
-                          {MIIM_DP83865_LANR, miim_read,
-                           &mii_parse_dp83865_lanr},
-                          {miim_end,}
-                          },
-       (struct phy_cmd[]){     /* shutdown */
-                          {miim_end,}
-                          },
+       (struct phy_cmd[]) {    /* config */
+               {MIIM_CONTROL, MIIM_DP83865_CR_INIT, NULL},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* startup */
+               /* Status is read once to clear old link state */
+               {MIIM_STATUS, miim_read, NULL},
+               /* Auto-negotiate */
+               {MIIM_STATUS, miim_read, &mii_parse_sr},
+               /* Read the link and auto-neg status */
+               {MIIM_DP83865_LANR, miim_read, &mii_parse_dp83865_lanr},
+               {miim_end,}
+       },
+       (struct phy_cmd[]) {    /* shutdown */
+               {miim_end,}
+       },
 };
 
-struct phy_info phy_info_rtl8211b = {
+static struct phy_info phy_info_rtl8211b = {
        0x001cc91,
        "RealTek RTL8211B",
        4,
-       (struct phy_cmd[])    /* config */
+       (struct phy_cmd[]) {    /* config */
                /* Reset and configure the PHY */
                {MIIM_CONTROL, MIIM_CONTROL_RESET, NULL},
                {MIIM_GBIT_CONTROL, MIIM_GBIT_CONTROL_INIT, NULL},
@@ -1682,7 +1762,7 @@ struct phy_info phy_info_rtl8211b = {
                {MIIM_CONTROL, MIIM_CONTROL_INIT, &mii_cr_init},
                {miim_end,}
        },
-       (struct phy_cmd[])    /* startup */
+       (struct phy_cmd[]) {    /* startup */
                /* Status is read once to clear old link state */
                {MIIM_STATUS, miim_read, NULL},
                /* Auto-negotiate */
@@ -1691,12 +1771,12 @@ struct phy_info phy_info_rtl8211b = {
                {MIIM_RTL8211B_PHY_STATUS, miim_read, &mii_parse_RTL8211B_sr},
                {miim_end,}
        },
-       (struct phy_cmd[])    /* shutdown */
+       (struct phy_cmd[]) {    /* shutdown */
                {miim_end,}
        },
 };
 
-struct phy_info *phy_info[] = {
+static struct phy_info *phy_info[] = {
        &phy_info_cis8204,
        &phy_info_cis8201,
        &phy_info_BCM5461S,
@@ -1725,7 +1805,7 @@ struct phy_info *phy_info[] = {
  * all of the known PHYs to see if one matches.         If so, return
  * it, if not, return NULL
  */
-struct phy_info *get_phy_info(struct eth_device *dev)
+static struct phy_info *get_phy_info(struct eth_device *dev)
 {
        struct tsec_private *priv = (struct tsec_private *)dev->priv;
        uint phy_reg, phy_ID;
@@ -1750,7 +1830,8 @@ struct phy_info *get_phy_info(struct eth_device *dev)
        }
 
        if (theInfo == &phy_info_generic) {
-               printf("%s: No support for PHY id %x; assuming generic\n", dev->name, phy_ID);
+               printf("%s: No support for PHY id %x; assuming generic\n",
+                       dev->name, phy_ID);
        } else {
                debug("%s: PHY is %s (%x)\n", dev->name, theInfo->name, phy_ID);
        }
@@ -1761,7 +1842,7 @@ struct phy_info *get_phy_info(struct eth_device *dev)
 /* Execute the given series of commands on the given device's
  * PHY, running functions as necessary
  */
-void phy_run_commands(struct tsec_private *priv, struct phy_cmd *cmd)
+static void phy_run_commands(struct tsec_private *priv, struct phy_cmd *cmd)
 {
        int i;
        uint result;
@@ -1863,10 +1944,10 @@ static int tsec_miiphy_write(char *devname, unsigned char addr,
 static int
 tsec_mcast_addr (struct eth_device *dev, u8 mcast_mac, u8 set)
 {
- struct tsec_private *priv = privlist[1];
- volatile tsec_t *regs = priv->regs;
- volatile u32  *reg_array, value;
- u8 result, whichbit, whichreg;
      struct tsec_private *priv = privlist[1];
      volatile tsec_t *regs = priv->regs;
      volatile u32  *reg_array, value;
      u8 result, whichbit, whichreg;
 
        result = (u8)((ether_crc(MAC_ADDR_LEN,mcast_mac) >> 24) & 0xff);
        whichbit = result & 0x1f;       /* the 5 LSB = which bit to set */
index db95adaeef95cb73672150ca636b0ee0f4ca6c1b..27dc5009c80080951ce373f26c895f509b49318e 100644 (file)
@@ -323,9 +323,10 @@ static int uec_set_mac_duplex(uec_private_t *uec, int duplex)
        return 0;
 }
 
-static int uec_set_mac_if_mode(uec_private_t *uec, enet_interface_e if_mode)
+static int uec_set_mac_if_mode(uec_private_t *uec,
+               enet_interface_type_e if_mode, int speed)
 {
-       enet_interface_e        enet_if_mode;
+       enet_interface_type_e   enet_if_mode;
        uec_info_t              *uec_info;
        uec_t                   *uec_regs;
        u32                     upsmr;
@@ -346,52 +347,68 @@ static int uec_set_mac_if_mode(uec_private_t *uec, enet_interface_e if_mode)
        upsmr = in_be32(&uec->uccf->uf_regs->upsmr);
        upsmr &= ~(UPSMR_RPM | UPSMR_TBIM | UPSMR_R10M | UPSMR_RMM);
 
-       switch (enet_if_mode) {
-               case ENET_100_MII:
-               case ENET_10_MII:
+       switch (speed) {
+               case 10:
                        maccfg2 |= MACCFG2_INTERFACE_MODE_NIBBLE;
+                       switch (enet_if_mode) {
+                               case MII:
+                                       break;
+                               case RGMII:
+                                       upsmr |= (UPSMR_RPM | UPSMR_R10M);
+                                       break;
+                               case RMII:
+                                       upsmr |= (UPSMR_R10M | UPSMR_RMM);
+                                       break;
+                               default:
+                                       return -EINVAL;
+                                       break;
+                       }
                        break;
-               case ENET_1000_GMII:
-                       maccfg2 |= MACCFG2_INTERFACE_MODE_BYTE;
-                       break;
-               case ENET_1000_TBI:
-                       maccfg2 |= MACCFG2_INTERFACE_MODE_BYTE;
-                       upsmr |= UPSMR_TBIM;
-                       break;
-               case ENET_1000_RTBI:
-                       maccfg2 |= MACCFG2_INTERFACE_MODE_BYTE;
-                       upsmr |= (UPSMR_RPM | UPSMR_TBIM);
-                       break;
-               case ENET_1000_RGMII_RXID:
-               case ENET_1000_RGMII_ID:
-               case ENET_1000_RGMII:
-                       maccfg2 |= MACCFG2_INTERFACE_MODE_BYTE;
-                       upsmr |= UPSMR_RPM;
-                       break;
-               case ENET_100_RGMII:
-                       maccfg2 |= MACCFG2_INTERFACE_MODE_NIBBLE;
-                       upsmr |= UPSMR_RPM;
-                       break;
-               case ENET_10_RGMII:
-                       maccfg2 |= MACCFG2_INTERFACE_MODE_NIBBLE;
-                       upsmr |= (UPSMR_RPM | UPSMR_R10M);
-                       break;
-               case ENET_100_RMII:
-                       maccfg2 |= MACCFG2_INTERFACE_MODE_NIBBLE;
-                       upsmr |= UPSMR_RMM;
-                       break;
-               case ENET_10_RMII:
+               case 100:
                        maccfg2 |= MACCFG2_INTERFACE_MODE_NIBBLE;
-                       upsmr |= (UPSMR_R10M | UPSMR_RMM);
+                       switch (enet_if_mode) {
+                               case MII:
+                                       break;
+                               case RGMII:
+                                       upsmr |= UPSMR_RPM;
+                                       break;
+                               case RMII:
+                                       upsmr |= UPSMR_RMM;
+                                       break;
+                               default:
+                                       return -EINVAL;
+                                       break;
+                       }
                        break;
-               case ENET_1000_SGMII:
+               case 1000:
                        maccfg2 |= MACCFG2_INTERFACE_MODE_BYTE;
-                       upsmr |= UPSMR_SGMM;
+                       switch (enet_if_mode) {
+                               case GMII:
+                                       break;
+                               case TBI:
+                                       upsmr |= UPSMR_TBIM;
+                                       break;
+                               case RTBI:
+                                       upsmr |= (UPSMR_RPM | UPSMR_TBIM);
+                                       break;
+                               case RGMII_RXID:
+                               case RGMII_ID:
+                               case RGMII:
+                                       upsmr |= UPSMR_RPM;
+                                       break;
+                               case SGMII:
+                                       upsmr |= UPSMR_SGMM;
+                                       break;
+                               default:
+                                       return -EINVAL;
+                                       break;
+                       }
                        break;
                default:
                        return -EINVAL;
                        break;
        }
+
        out_be32(&uec_regs->maccfg2, maccfg2);
        out_be32(&uec->uccf->uf_regs->upsmr, upsmr);
 
@@ -504,7 +521,7 @@ static void adjust_link(struct eth_device *dev)
        struct uec_mii_info     *mii_info = uec->mii_info;
 
        extern void change_phy_interface_mode(struct eth_device *dev,
-                                        enet_interface_e mode);
+                                enet_interface_type_e mode, int speed);
        uec_regs = uec->uec_regs;
 
        if (mii_info->link) {
@@ -522,25 +539,19 @@ static void adjust_link(struct eth_device *dev)
                }
 
                if (mii_info->speed != uec->oldspeed) {
+                       enet_interface_type_e   mode = \
+                               uec->uec_info->enet_interface_type;
                        if (uec->uec_info->uf_info.eth_type == GIGA_ETH) {
                                switch (mii_info->speed) {
                                case 1000:
                                        break;
                                case 100:
                                        printf ("switching to rgmii 100\n");
-                                       /* change phy to rgmii 100 */
-                                       change_phy_interface_mode(dev,
-                                                               ENET_100_RGMII);
-                                       /* change the MAC interface mode */
-                                       uec_set_mac_if_mode(uec,ENET_100_RGMII);
+                                       mode = RGMII;
                                        break;
                                case 10:
                                        printf ("switching to rgmii 10\n");
-                                       /* change phy to rgmii 10 */
-                                       change_phy_interface_mode(dev,
-                                                               ENET_10_RGMII);
-                                       /* change the MAC interface mode */
-                                       uec_set_mac_if_mode(uec,ENET_10_RGMII);
+                                       mode = RGMII;
                                        break;
                                default:
                                        printf("%s: Ack,Speed(%d)is illegal\n",
@@ -549,6 +560,11 @@ static void adjust_link(struct eth_device *dev)
                                }
                        }
 
+                       /* change phy */
+                       change_phy_interface_mode(dev, mode, mii_info->speed);
+                       /* change the MAC interface mode */
+                       uec_set_mac_if_mode(uec, mode, mii_info->speed);
+
                        printf("%s: Speed %dBT\n", dev->name, mii_info->speed);
                        uec->oldspeed = mii_info->speed;
                }
@@ -980,7 +996,6 @@ static int uec_startup(uec_private_t *uec)
        int                             num_threads_tx;
        int                             num_threads_rx;
        u32                             utbipar;
-       enet_interface_e                enet_interface;
        u32                             length;
        u32                             align;
        qe_bd_t                         *bd;
@@ -1060,7 +1075,7 @@ static int uec_startup(uec_private_t *uec)
        out_be32(&uec_regs->maccfg2, MACCFG2_INIT_VALUE);
 
        /* Setup MAC interface mode */
-       uec_set_mac_if_mode(uec, uec_info->enet_interface);
+       uec_set_mac_if_mode(uec, uec_info->enet_interface_type, uec_info->speed);
 
        /* Setup MII management base */
 #ifndef CONFIG_eTSEC_MDIO_BUS
@@ -1075,7 +1090,6 @@ static int uec_startup(uec_private_t *uec)
        /* Setup UTBIPAR */
        utbipar = in_be32(&uec_regs->utbipar);
        utbipar &= ~UTBIPAR_PHY_ADDRESS_MASK;
-       enet_interface = uec->uec_info->enet_interface;
 
        /* Initialize UTBIPAR address to CONFIG_UTBIPAR_INIT_TBIPA for ALL UEC.
         * This frees up the remaining SMI addresses for use.
@@ -1084,7 +1098,8 @@ static int uec_startup(uec_private_t *uec)
        out_be32(&uec_regs->utbipar, utbipar);
 
        /* Configure the TBI for SGMII operation */
-       if (uec->uec_info->enet_interface == ENET_1000_SGMII) {
+       if ((uec->uec_info->enet_interface_type == SGMII) &&
+          (uec->uec_info->speed == 1000)) {
                uec_write_phy_reg(uec->dev, uec_regs->utbipar,
                        ENET_TBI_MII_ANA, TBIANA_SETTINGS);
 
@@ -1215,6 +1230,7 @@ static int uec_init(struct eth_device* dev, bd_t *bd)
                if (err || i <= 0)
                        printf("warning: %s: timeout on PHY link\n", dev->name);
 
+               adjust_link(dev);
                uec->the_first_run = 1;
        }
 
index febfbcef532614600db62070a9017c79b8813c8e..2a9e2dcd9c2b6e806783b4a7bfd8e42d872146f3 100644 (file)
@@ -662,22 +662,18 @@ typedef enum uec_num_of_threads {
 
 /* UEC ethernet interface type
 */
-typedef enum enet_interface {
-       ENET_10_MII,
-       ENET_10_RMII,
-       ENET_10_RGMII,
-       ENET_100_MII,
-       ENET_100_RMII,
-       ENET_100_RGMII,
-       ENET_1000_GMII,
-       ENET_1000_RGMII,
-       ENET_1000_RGMII_ID,
-       ENET_1000_RGMII_RXID,
-       ENET_1000_RGMII_TXID,
-       ENET_1000_TBI,
-       ENET_1000_RTBI,
-       ENET_1000_SGMII
-} enet_interface_e;
+typedef enum enet_interface_type {
+       MII,
+       RMII,
+       RGMII,
+       GMII,
+       RGMII_ID,
+       RGMII_RXID,
+       RGMII_TXID,
+       TBI,
+       RTBI,
+       SGMII
+} enet_interface_type_e;
 
 /* UEC initialization info struct
 */
@@ -696,7 +692,8 @@ typedef enum enet_interface {
        .tx_bd_ring_len         = 16,   \
        .rx_bd_ring_len         = 16,   \
        .phy_address            = CONFIG_SYS_UEC##num##_PHY_ADDR, \
-       .enet_interface         = CONFIG_SYS_UEC##num##_INTERFACE_MODE, \
+       .enet_interface_type    = CONFIG_SYS_UEC##num##_INTERFACE_TYPE, \
+       .speed                  = CONFIG_SYS_UEC##num##_INTERFACE_SPEED, \
 }
 
 typedef struct uec_info {
@@ -708,7 +705,8 @@ typedef struct uec_info {
        u16                             rx_bd_ring_len;
        u16                             tx_bd_ring_len;
        u8                              phy_address;
-       enet_interface_e                enet_interface;
+       enet_interface_type_e           enet_interface_type;
+       int                             speed;
 } uec_info_t;
 
 /* UEC driver initialized info
index 97151839428e8913fdf67d8e1f1fc54a42a56049..c4214d9a0ae3f64c7cd4a3ae4ab5fba325a8e468 100644 (file)
@@ -401,7 +401,8 @@ static int bcm_init(struct uec_mii_info *mii_info)
 
        gbit_config_aneg(mii_info);
 
-       if (uec->uec_info->enet_interface == ENET_1000_RGMII_RXID) {
+       if ((uec->uec_info->enet_interface_type == RGMII_RXID) &&
+          (uec->uec_info->speed == 1000)) {
                u16 val;
                int cnt = 50;
 
@@ -429,20 +430,22 @@ static int marvell_init(struct uec_mii_info *mii_info)
 {
        struct eth_device *edev = mii_info->dev;
        uec_private_t *uec = edev->priv;
-       enum enet_interface iface = uec->uec_info->enet_interface;
+       enum enet_interface_type iface = uec->uec_info->enet_interface_type;
+       int     speed = uec->uec_info->speed;
 
-       if (iface == ENET_1000_RGMII_ID ||
-                       iface == ENET_1000_RGMII_RXID ||
-                       iface == ENET_1000_RGMII_TXID) {
+       if ((speed == 1000) &&
+          (iface == RGMII_ID ||
+           iface == RGMII_RXID ||
+           iface == RGMII_TXID)) {
                int temp;
 
                temp = phy_read(mii_info, MII_M1111_PHY_EXT_CR);
-               if (iface == ENET_1000_RGMII_ID) {
+               if (iface == RGMII_ID) {
                        temp |= MII_M1111_RX_DELAY | MII_M1111_TX_DELAY;
-               } else if (iface == ENET_1000_RGMII_RXID) {
+               } else if (iface == RGMII_RXID) {
                        temp &= ~MII_M1111_TX_DELAY;
                        temp |= MII_M1111_RX_DELAY;
-               } else if (iface == ENET_1000_RGMII_TXID) {
+               } else if (iface == RGMII_TXID) {
                        temp &= ~MII_M1111_RX_DELAY;
                        temp |= MII_M1111_TX_DELAY;
                }
@@ -795,7 +798,9 @@ struct phy_info *uec_get_phy_info (struct uec_mii_info *mii_info)
 }
 
 void marvell_phy_interface_mode (struct eth_device *dev,
-                                enet_interface_e mode)
+                                enet_interface_type_e type,
+                                int speed
+                               )
 {
        uec_private_t *uec = (uec_private_t *) dev->priv;
        struct uec_mii_info *mii_info;
@@ -807,33 +812,35 @@ void marvell_phy_interface_mode (struct eth_device *dev,
        }
        mii_info = uec->mii_info;
 
-       if (mode == ENET_100_RGMII) {
-               phy_write (mii_info, 0x00, 0x9140);
-               phy_write (mii_info, 0x1d, 0x001f);
-               phy_write (mii_info, 0x1e, 0x200c);
-               phy_write (mii_info, 0x1d, 0x0005);
-               phy_write (mii_info, 0x1e, 0x0000);
-               phy_write (mii_info, 0x1e, 0x0100);
-               phy_write (mii_info, 0x09, 0x0e00);
-               phy_write (mii_info, 0x04, 0x01e1);
-               phy_write (mii_info, 0x00, 0x9140);
-               phy_write (mii_info, 0x00, 0x1000);
-               udelay (100000);
-               phy_write (mii_info, 0x00, 0x2900);
-               phy_write (mii_info, 0x14, 0x0cd2);
-               phy_write (mii_info, 0x00, 0xa100);
-               phy_write (mii_info, 0x09, 0x0000);
-               phy_write (mii_info, 0x1b, 0x800b);
-               phy_write (mii_info, 0x04, 0x05e1);
-               phy_write (mii_info, 0x00, 0xa100);
-               phy_write (mii_info, 0x00, 0x2100);
-               udelay (1000000);
-       } else if (mode == ENET_10_RGMII) {
-               phy_write (mii_info, 0x14, 0x8e40);
-               phy_write (mii_info, 0x1b, 0x800b);
-               phy_write (mii_info, 0x14, 0x0c82);
-               phy_write (mii_info, 0x00, 0x8100);
-               udelay (1000000);
+       if (type == RGMII) {
+               if (speed == 100) {
+                       phy_write (mii_info, 0x00, 0x9140);
+                       phy_write (mii_info, 0x1d, 0x001f);
+                       phy_write (mii_info, 0x1e, 0x200c);
+                       phy_write (mii_info, 0x1d, 0x0005);
+                       phy_write (mii_info, 0x1e, 0x0000);
+                       phy_write (mii_info, 0x1e, 0x0100);
+                       phy_write (mii_info, 0x09, 0x0e00);
+                       phy_write (mii_info, 0x04, 0x01e1);
+                       phy_write (mii_info, 0x00, 0x9140);
+                       phy_write (mii_info, 0x00, 0x1000);
+                       udelay (100000);
+                       phy_write (mii_info, 0x00, 0x2900);
+                       phy_write (mii_info, 0x14, 0x0cd2);
+                       phy_write (mii_info, 0x00, 0xa100);
+                       phy_write (mii_info, 0x09, 0x0000);
+                       phy_write (mii_info, 0x1b, 0x800b);
+                       phy_write (mii_info, 0x04, 0x05e1);
+                       phy_write (mii_info, 0x00, 0xa100);
+                       phy_write (mii_info, 0x00, 0x2100);
+                       udelay (1000000);
+               } else if (speed == 10) {
+                       phy_write (mii_info, 0x14, 0x8e40);
+                       phy_write (mii_info, 0x1b, 0x800b);
+                       phy_write (mii_info, 0x14, 0x0c82);
+                       phy_write (mii_info, 0x00, 0x8100);
+                       udelay (1000000);
+               }
        }
 
        /* handle 88e1111 rev.B2 erratum 5.6 */
@@ -844,9 +851,10 @@ void marvell_phy_interface_mode (struct eth_device *dev,
        /* now the B2 will correctly report autoneg completion status */
 }
 
-void change_phy_interface_mode (struct eth_device *dev, enet_interface_e mode)
+void change_phy_interface_mode (struct eth_device *dev,
+                               enet_interface_type_e type, int speed)
 {
 #ifdef CONFIG_PHY_MODE_NEED_CHANGE
-       marvell_phy_interface_mode (dev, mode);
+       marvell_phy_interface_mode (dev, type, speed);
 #endif
 }
index bb6b5a0d51511402dcc7a4753f2dac21ac9503f9..a5e339a21b2a27c0e8845294b136900e3afc3cf4 100644 (file)
@@ -29,6 +29,7 @@ COBJS-$(CONFIG_ATI_RADEON_FB) += ati_radeon_fb.o
 COBJS-$(CONFIG_ATMEL_LCD) += atmel_lcdfb.o
 COBJS-$(CONFIG_CFB_CONSOLE) += cfb_console.o
 COBJS-$(CONFIG_S6E63D6) += s6e63d6.o
+COBJS-$(CONFIG_VIDEO_AMBA) += amba.o
 COBJS-$(CONFIG_VIDEO_CT69000) += ct69000.o
 COBJS-$(CONFIG_VIDEO_MB862xx) += mb862xx.o
 COBJS-$(CONFIG_VIDEO_MX3) += mx3fb.o
diff --git a/drivers/video/amba.c b/drivers/video/amba.c
new file mode 100644 (file)
index 0000000..ffa1c39
--- /dev/null
@@ -0,0 +1,79 @@
+/*
+ * Driver for AMBA PrimeCell CLCD
+ *
+ * Copyright (C) 2009 Alessandro Rubini
+ *
+ * See file CREDITS for list of people who contributed to this
+ * project.
+ *
+ * This program is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU General Public License as
+ * published by the Free Software Foundation; either version 2 of
+ * the License, or (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.         See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
+ * MA 02111-1307 USA
+ */
+
+#include <common.h>
+#include <asm/io.h>
+#include <lcd.h>
+#include <amba_clcd.h>
+
+/* These variables are required by lcd.c -- although it sets them by itself */
+int lcd_line_length;
+int lcd_color_fg;
+int lcd_color_bg;
+void *lcd_base;
+void *lcd_console_address;
+short console_col;
+short console_row;
+
+/*
+ * To use this driver you need to provide the following in board files:
+ *     a panel_info definition
+ *     an lcd_enable function (can't define a weak default with current code)
+ */
+
+/* There is nothing to do with color registers, we use true color */
+void lcd_setcolreg(ushort regno, ushort red, ushort green, ushort blue)
+{
+       return;
+}
+
+/* Low level initialization of the logic cell: depends on panel_info */
+void lcd_ctrl_init(void *lcdbase)
+{
+       struct clcd_config *config;
+       struct clcd_registers *regs;
+       u32 cntl;
+
+       config = panel_info.priv;
+       regs = config->address;
+       cntl = config->cntl & ~CNTL_LCDEN;
+
+       /* Lazily, just copy the registers over: first control with disable */
+       writel(cntl, &regs->cntl);
+
+       writel(config->tim0, &regs->tim0);
+       writel(config->tim1, &regs->tim1);
+       writel(config->tim2, &regs->tim2);
+       writel(config->tim3, &regs->tim3);
+       writel((u32)lcdbase, &regs->ubas);
+       /* finally, enable */
+       writel(cntl | CNTL_LCDEN, &regs->cntl);
+}
+
+/* This is trivial, and copied from atmel_lcdfb.c */
+ulong calc_fbsize(void)
+{
+       return ((panel_info.vl_col * panel_info.vl_row *
+               NBITS(panel_info.vl_bpix)) / 8) + PAGE_SIZE;
+}
index 9145763e30ce3319e47573d00c6df42e7ce518a2..b91f34c3af0593095d262c84e8345ca9af6e925a 100644 (file)
@@ -53,9 +53,8 @@ int smc91111_eeprom (int argc, char *argv[])
        int c, i, j, done, line, reg, value, start, what;
        char input[50];
 
-       struct eth_device dev = {
-               .iobase = CONFIG_SMC91111_BASE
-       };
+       struct eth_device dev;
+       dev.iobase = CONFIG_SMC91111_BASE;
 
        /* Print the ABI version */
        app_startup (argv);
diff --git a/include/amba_clcd.h b/include/amba_clcd.h
new file mode 100644 (file)
index 0000000..db80517
--- /dev/null
@@ -0,0 +1,77 @@
+/*
+ * Register definitions for the AMBA CLCD logic cell.
+ *
+ * derived from David A Rusling, although rearranged as a C structure
+ *     linux/include/asm-arm/hardware/amba_clcd.h -- Integrator LCD panel.
+ *
+ * Copyright (C) 2001 ARM Limited
+ *
+ * This file is subject to the terms and conditions of the GNU General Public
+ * License.  See the file COPYING in the main directory of this archive
+ * for more details.
+ */
+
+/*
+ * CLCD Controller Internal Register addresses
+ */
+struct clcd_registers {
+       u32 tim0;       /* 0x00 */
+       u32 tim1;
+       u32 tim2;
+       u32 tim3;
+       u32 ubas;       /* 0x10 */
+       u32 lbas;
+#if !defined(CONFIG_ARCH_VERSATILE) && !defined(CONFIG_ARCH_REALVIEW)
+       u32 ienb;
+       u32 cntl;
+#else /* Someone rearranged these two registers on the Versatile */
+       u32 cntl;
+       u32 ienb;
+#endif
+       u32 stat;       /* 0x20 */
+       u32 intr;
+       u32 ucur;
+       u32 lcur;
+       u32 unused[0x74];       /* 0x030..0x1ff */
+       u32 palette[0x80];      /* 0x200..0x3ff */
+};
+
+/* Bit definition for TIM2 */
+#define TIM2_CLKSEL            (1 << 5)
+#define TIM2_IVS               (1 << 11)
+#define TIM2_IHS               (1 << 12)
+#define TIM2_IPC               (1 << 13)
+#define TIM2_IOE               (1 << 14)
+#define TIM2_BCD               (1 << 26)
+
+/* Bit definitions for control register */
+#define CNTL_LCDEN             (1 << 0)
+#define CNTL_LCDBPP1           (0 << 1)
+#define CNTL_LCDBPP2           (1 << 1)
+#define CNTL_LCDBPP4           (2 << 1)
+#define CNTL_LCDBPP8           (3 << 1)
+#define CNTL_LCDBPP16          (4 << 1)
+#define CNTL_LCDBPP16_565      (6 << 1)
+#define CNTL_LCDBPP24          (5 << 1)
+#define CNTL_LCDBW             (1 << 4)
+#define CNTL_LCDTFT            (1 << 5)
+#define CNTL_LCDMONO8          (1 << 6)
+#define CNTL_LCDDUAL           (1 << 7)
+#define CNTL_BGR               (1 << 8)
+#define CNTL_BEBO              (1 << 9)
+#define CNTL_BEPO              (1 << 10)
+#define CNTL_LCDPWR            (1 << 11)
+#define CNTL_LCDVCOMP(x)       ((x) << 12)
+#define CNTL_LDMAFIFOTIME      (1 << 15)
+#define CNTL_WATERMARK         (1 << 16)
+
+/* u-boot specific: information passed by the board file */
+struct clcd_config {
+       struct clcd_registers *address;
+       u32                     tim0;
+       u32                     tim1;
+       u32                     tim2;
+       u32                     tim3;
+       u32                     cntl;
+       unsigned long           pixclock;
+};
diff --git a/include/asm-arm/arch-at91/at91_emac.h b/include/asm-arm/arch-at91/at91_emac.h
new file mode 100644 (file)
index 0000000..4b96f04
--- /dev/null
@@ -0,0 +1,145 @@
+/*
+ * Memory Setup stuff - taken from blob memsetup.S
+ *
+ * Copyright (C) 2009 Jens Scharsig (js_at_ng@scharsoft.de)
+ *
+ * based on AT91RM9200 datasheet revision I (36. Ethernet MAC (EMAC))
+ *
+ * See file CREDITS for list of people who contributed to this
+ * project.
+ *
+ * This program is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU General Public License as
+ * published by the Free Software Foundation; either version 2 of
+ * the License, or (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.         See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
+ * MA 02111-1307 USA
+ */
+
+#ifndef AT91_H
+#define AT91_H
+
+typedef struct at91_emac {
+       u32      ctl;
+       u32      cfg;
+       u32      sr;
+       u32      tar;
+       u32      tcr;
+       u32      tsr;
+       u32      rbqp;
+       u32      reserved0;
+       u32      rsr;
+       u32      isr;
+       u32      ier;
+       u32      idr;
+       u32      imr;
+       u32      man;
+       u32      reserved1[2];
+       u32      fra;
+       u32      scol;
+       u32      mocl;
+       u32      ok;
+       u32      seqe;
+       u32      ale;
+       u32      dte;
+       u32      lcol;
+       u32      ecol;
+       u32      cse;
+       u32      tue;
+       u32      cde;
+       u32      elr;
+       u32      rjb;
+       u32      usf;
+       u32      sqee;
+       u32      drfc;
+       u32      reserved2[3];
+       u32      hsh;
+       u32      hsl;
+       u32      sh1l;
+       u32      sa1h;
+       u32      sa2l;
+       u32      sa2h;
+       u32      sa3l;
+       u32      sa3h;
+       u32      sa4l;
+       u32      sa4h;
+} at91_emac_t;
+
+#define AT91_EMAC_CTL_LB       0x0001
+#define AT91_EMAC_CTL_LBL      0x0002
+#define AT91_EMAC_CTL_RE       0x0004
+#define AT91_EMAC_CTL_TE       0x0008
+#define AT91_EMAC_CTL_MPE      0x0010
+#define AT91_EMAC_CTL_CSR      0x0020
+#define AT91_EMAC_CTL_ISR      0x0040
+#define AT91_EMAC_CTL_WES      0x0080
+#define AT91_EMAC_CTL_BP       0x1000
+
+#define AT91_EMAC_CFG_SPD      0x0001
+#define AT91_EMAC_CFG_FD       0x0002
+#define AT91_EMAC_CFG_BR       0x0004
+#define AT91_EMAC_CFG_CAF      0x0010
+#define AT91_EMAC_CFG_NBC      0x0020
+#define AT91_EMAC_CFG_MTI      0x0040
+#define AT91_EMAC_CFG_UNI      0x0080
+#define AT91_EMAC_CFG_BIG      0x0100
+#define AT91_EMAC_CFG_EAE      0x0200
+#define AT91_EMAC_CFG_CLK_MASK 0xFFFFF3FF
+#define AT91_EMAC_CFG_MCLK_8   0x0000
+#define AT91_EMAC_CFG_MCLK_16  0x0400
+#define AT91_EMAC_CFG_MCLK_32  0x0800
+#define AT91_EMAC_CFG_MCLK_64  0x0C00
+#define AT91_EMAC_CFG_RTY      0x1000
+#define AT91_EMAC_CFG_RMII     0x2000
+
+#define AT91_EMAC_SR_LINK      0x0001
+#define AT91_EMAC_SR_MDIO      0x0002
+#define AT91_EMAC_SR_IDLE      0x0004
+
+#define AT91_EMAC_TCR_LEN(x)   (x & 0x7FF)
+#define AT91_EMAC_TCR_NCRC     0x8000
+
+#define AT91_EMAC_TSR_OVR      0x0001
+#define AT91_EMAC_TSR_COL      0x0002
+#define AT91_EMAC_TSR_RLE      0x0004
+#define AT91_EMAC_TSR_TXIDLE   0x0008
+#define AT91_EMAC_TSR_BNQ      0x0010
+#define AT91_EMAC_TSR_COMP     0x0020
+#define AT91_EMAC_TSR_UND      0x0040
+
+#define AT91_EMAC_RSR_BNA      0x0001
+#define AT91_EMAC_RSR_REC      0x0002
+#define AT91_EMAC_RSR_OVR      0x0004
+
+/*  ISR, IER, IDR, IMR use the same bits */
+#define AT91_EMAC_IxR_DONE     0x0001
+#define AT91_EMAC_IxR_RCOM     0x0002
+#define AT91_EMAC_IxR_RBNA     0x0004
+#define AT91_EMAC_IxR_TOVR     0x0008
+#define AT91_EMAC_IxR_TUND     0x0010
+#define AT91_EMAC_IxR_RTRY     0x0020
+#define AT91_EMAC_IxR_TBRE     0x0040
+#define AT91_EMAC_IxR_TCOM     0x0080
+#define AT91_EMAC_IxR_TIDLE    0x0100
+#define AT91_EMAC_IxR_LINK     0x0200
+#define AT91_EMAC_IxR_ROVR     0x0400
+#define AT91_EMAC_IxR_HRESP    0x0800
+
+#define AT91_EMAC_MAN_DATA_MASK                0xFFFF
+#define AT91_EMAC_MAN_CODE_802_3       0x00020000
+#define AT91_EMAC_MAN_REGA(reg)                ((reg & 0x1F) << 18)
+#define AT91_EMAC_MAN_PHYA(phy)                ((phy & 0x1F) << 23)
+#define AT91_EMAC_MAN_RW_R             0x20000000
+#define AT91_EMAC_MAN_RW_W             0x10000000
+#define AT91_EMAC_MAN_HIGH             0x40000000
+#define AT91_EMAC_MAN_LOW              0x80000000
+
+#endif
index 96bc80e47690ee66d119a23e3463d22e6ab3d72a..e313263ffb08652ed5c73644dbeadc9e30777df7 100644 (file)
 #define EMAC_WRAPPER_BASE_ADDR         (0x01d0a000)
 #define EMAC_WRAPPER_RAM_ADDR          (0x01d08000)
 #define EMAC_MDIO_BASE_ADDR            (0x01d0b000)
+#define DAVINCI_EMAC_VERSION2
+#elif defined(CONFIG_SOC_DA8XX)
+#define EMAC_BASE_ADDR                 DAVINCI_EMAC_CNTRL_REGS_BASE
+#define EMAC_WRAPPER_BASE_ADDR         DAVINCI_EMAC_WRAPPER_CNTRL_REGS_BASE
+#define EMAC_WRAPPER_RAM_ADDR          DAVINCI_EMAC_WRAPPER_RAM_BASE
+#define EMAC_MDIO_BASE_ADDR            DAVINCI_MDIO_CNTRL_REGS_BASE
+#define DAVINCI_EMAC_VERSION2
 #else
 #define EMAC_BASE_ADDR                 (0x01c80000)
 #define EMAC_WRAPPER_BASE_ADDR         (0x01c81000)
 #define EMAC_MDIO_BASE_ADDR            (0x01c84000)
 #endif
 
+#ifdef CONFIG_SOC_DM646X
+#define DAVINCI_EMAC_VERSION2
+#define DAVINCI_EMAC_GIG_ENABLE
+#endif
+
 #ifdef CONFIG_SOC_DM646X
 /* MDIO module input frequency */
 #define EMAC_MDIO_BUS_FREQ             76500000
 #define EMAC_MDIO_BUS_FREQ             121500000
 /* MDIO clock output frequency */
 #define EMAC_MDIO_CLOCK_FREQ           2200000         /* 2.2 MHz */
+#elif defined(CONFIG_SOC_DA8XX)
+/* MDIO module input frequency */
+#define EMAC_MDIO_BUS_FREQ             clk_get(DAVINCI_MDIO_CLKID)
+/* MDIO clock output frequency */
+#define EMAC_MDIO_CLOCK_FREQ           2000000         /* 2.0 MHz */
 #else
 /* MDIO module input frequency */
 #define EMAC_MDIO_BUS_FREQ             99000000        /* PLL/6 - 99 MHz */
@@ -128,6 +145,10 @@ typedef volatile struct _emac_desc
 #define EMAC_MACCONTROL_FULLDUPLEX_ENABLE      (0x1)
 #define EMAC_MACCONTROL_GIGABIT_ENABLE         (1 << 7)
 #define EMAC_MACCONTROL_GIGFORCE               (1 << 17)
+#define EMAC_MACCONTROL_RMIISPEED_100          (1 << 15)
+
+#define EMAC_MAC_ADDR_MATCH            (1 << 19)
+#define EMAC_MAC_ADDR_IS_VALID         (1 << 20)
 
 #define EMAC_RXMBPENABLE_RXCAFEN_ENABLE        (0x200000)
 #define EMAC_RXMBPENABLE_RXBROADEN     (0x2000)
@@ -283,10 +304,40 @@ typedef struct  {
 
 /* EMAC Wrapper Registers Structure */
 typedef struct  {
-#if defined(CONFIG_SOC_DM646X) || defined(CONFIG_SOC_DM365)
-       dv_reg          IDVER;
-       dv_reg          SOFTRST;
-       dv_reg          EMCTRL;
+#ifdef DAVINCI_EMAC_VERSION2
+       dv_reg          idver;
+       dv_reg          softrst;
+       dv_reg          emctrl;
+       dv_reg          c0rxthreshen;
+       dv_reg          c0rxen;
+       dv_reg          c0txen;
+       dv_reg          c0miscen;
+       dv_reg          c1rxthreshen;
+       dv_reg          c1rxen;
+       dv_reg          c1txen;
+       dv_reg          c1miscen;
+       dv_reg          c2rxthreshen;
+       dv_reg          c2rxen;
+       dv_reg          c2txen;
+       dv_reg          c2miscen;
+       dv_reg          c0rxthreshstat;
+       dv_reg          c0rxstat;
+       dv_reg          c0txstat;
+       dv_reg          c0miscstat;
+       dv_reg          c1rxthreshstat;
+       dv_reg          c1rxstat;
+       dv_reg          c1txstat;
+       dv_reg          c1miscstat;
+       dv_reg          c2rxthreshstat;
+       dv_reg          c2rxstat;
+       dv_reg          c2txstat;
+       dv_reg          c2miscstat;
+       dv_reg          c0rximax;
+       dv_reg          c0tximax;
+       dv_reg          c1rximax;
+       dv_reg          c1tximax;
+       dv_reg          c2rximax;
+       dv_reg          c2tximax;
 #else
        u_int8_t        RSVD0[4100];
        dv_reg          EWCTL;
index 81f2b59dea1559a7d2a030b475786b06187d4680..0ae5abc6ce322945ae35ba884a3aa1b96c710339 100644 (file)
@@ -123,6 +123,11 @@ typedef volatile unsigned char     vu_char;
 #define debugX(level,fmt,args...)
 #endif /* DEBUG */
 
+#define error(fmt, args...) do {                                       \
+               printf("ERROR: " fmt "\nat %s:%d/%s()\n",               \
+                       ##args, __FILE__, __LINE__, __func__);          \
+} while (0)
+
 #ifndef BUG
 #define BUG() do { \
        printf("BUG: failure at %s:%d/%s()!\n", __FILE__, __LINE__, __FUNCTION__); \
index 356586c4280a43a6fa0e8443be2e7c6f4ec5e750..8e6f7ce4535cb915454c71c67ed7ecfb5c1497f5 100644 (file)
 #define CONFIG_SYS_UEC1_TX_CLK         QE_CLK10
 #define CONFIG_SYS_UEC1_ETH_TYPE       FAST_ETH
 #define CONFIG_SYS_UEC1_PHY_ADDR       4
-#define CONFIG_SYS_UEC1_INTERFACE_MODE ENET_100_MII
+#define CONFIG_SYS_UEC1_INTERFACE_TYPE MII
+#define CONFIG_SYS_UEC1_INTERFACE_SPEED        100
 #endif
 
 #define CONFIG_UEC_ETH2                /* ETH4 */
 #define CONFIG_SYS_UEC2_TX_CLK         QE_CLK3
 #define CONFIG_SYS_UEC2_ETH_TYPE       FAST_ETH
 #define CONFIG_SYS_UEC2_PHY_ADDR       0
-#define CONFIG_SYS_UEC2_INTERFACE_MODE ENET_100_MII
+#define CONFIG_SYS_UEC2_INTERFACE_TYPE MII
+#define CONFIG_SYS_UEC2_INTERFACE_SPEED        100
 #endif
 
 /*
index f17f9c7c376d294a12c806e71da2dbfbe314db00..1adac649e301a65beb636083906ee9c47068d58c 100644 (file)
 #define CONFIG_SYS_UEC1_TX_CLK         QE_CLK10
 #define CONFIG_SYS_UEC1_ETH_TYPE       FAST_ETH
 #define CONFIG_SYS_UEC1_PHY_ADDR       3
-#define CONFIG_SYS_UEC1_INTERFACE_MODE ENET_100_MII
+#define CONFIG_SYS_UEC1_INTERFACE_TYPE MII
+#define CONFIG_SYS_UEC1_INTERFACE_SPEED        100
 #endif
 
 #define CONFIG_UEC_ETH2                /* ETH4 */
 #define CONFIG_SYS_UEC2_TX_CLK         QE_CLK8
 #define CONFIG_SYS_UEC2_ETH_TYPE       FAST_ETH
 #define CONFIG_SYS_UEC2_PHY_ADDR       4
-#define CONFIG_SYS_UEC2_INTERFACE_MODE ENET_100_MII
+#define CONFIG_SYS_UEC2_INTERFACE_TYPE MII
+#define CONFIG_SYS_UEC2_INTERFACE_SPEED        100
 #endif
 
 /*
index 852015512c01f72fe115ca61ec77a065fea88569..6fa7287c83df0fd3d10953ff2cba034a8c324699 100644 (file)
 #define CONFIG_SYS_UEC1_TX_CLK         QE_CLK9
 #define CONFIG_SYS_UEC1_ETH_TYPE       GIGA_ETH
 #define CONFIG_SYS_UEC1_PHY_ADDR       0
-#define CONFIG_SYS_UEC1_INTERFACE_MODE ENET_1000_RGMII_ID
+#define CONFIG_SYS_UEC1_INTERFACE_TYPE RGMII_ID
+#define CONFIG_SYS_UEC1_INTERFACE_SPEED 1000
 #endif
 
 #define CONFIG_UEC_ETH2                /* GETH2 */
 #define CONFIG_SYS_UEC2_TX_CLK         QE_CLK4
 #define CONFIG_SYS_UEC2_ETH_TYPE       GIGA_ETH
 #define CONFIG_SYS_UEC2_PHY_ADDR       1
-#define CONFIG_SYS_UEC2_INTERFACE_MODE ENET_1000_RGMII_ID
+#define CONFIG_SYS_UEC2_INTERFACE_TYPE RGMII_ID
+#define CONFIG_SYS_UEC2_INTERFACE_SPEED 1000
 #endif
 
 /*
index 1d1f94f3f9a5020a8c424fe087180f24d9ded488..c6f1409302747bdacd22c2fa312f4229bf51926e 100644 (file)
 #define CONFIG_SYS_UEC1_TX_CLK         QE_CLK9
 #define CONFIG_SYS_UEC1_ETH_TYPE       GIGA_ETH
 #define CONFIG_SYS_UEC1_PHY_ADDR       2
-#define CONFIG_SYS_UEC1_INTERFACE_MODE ENET_1000_RGMII_RXID
+#define CONFIG_SYS_UEC1_INTERFACE_TYPE RGMII_RXID
+#define CONFIG_SYS_UEC1_INTERFACE_SPEED 1000
 #endif
 
 #define CONFIG_UEC_ETH2                /* GETH2 */
 #define CONFIG_SYS_UEC2_TX_CLK         QE_CLK4
 #define CONFIG_SYS_UEC2_ETH_TYPE       GIGA_ETH
 #define CONFIG_SYS_UEC2_PHY_ADDR       4
-#define CONFIG_SYS_UEC2_INTERFACE_MODE ENET_1000_RGMII_RXID
+#define CONFIG_SYS_UEC2_INTERFACE_TYPE RGMII_RXID
+#define CONFIG_SYS_UEC2_INTERFACE_SPEED 1000
 #endif
 
 /*
index 128a7e13f804f2a7945f04310abe4345278a509d..6973538a8c97b3c0c1b3afba9de50224a189f231 100644 (file)
@@ -333,7 +333,8 @@ extern unsigned long get_clock_freq(void);
 #define CONFIG_SYS_UEC1_TX_CLK         QE_CLK16
 #define CONFIG_SYS_UEC1_ETH_TYPE       GIGA_ETH
 #define CONFIG_SYS_UEC1_PHY_ADDR       7
-#define CONFIG_SYS_UEC1_INTERFACE_MODE ENET_1000_RGMII_ID
+#define CONFIG_SYS_UEC1_INTERFACE_TYPE RGMII_ID
+#define CONFIG_SYS_UEC1_INTERFACE_SPEED 1000
 #endif
 
 #define CONFIG_UEC_ETH2         /* GETH2 */
@@ -344,7 +345,8 @@ extern unsigned long get_clock_freq(void);
 #define CONFIG_SYS_UEC2_TX_CLK         QE_CLK16
 #define CONFIG_SYS_UEC2_ETH_TYPE       GIGA_ETH
 #define CONFIG_SYS_UEC2_PHY_ADDR       1
-#define CONFIG_SYS_UEC2_INTERFACE_MODE ENET_1000_RGMII_ID
+#define CONFIG_SYS_UEC2_INTERFACE_TYPE RGMII_ID
+#define CONFIG_SYS_UEC2_INTERFACE_SPEED 1000
 #endif
 #endif /* CONFIG_QE */
 
index ae2fc19f4b526059773f684017d8712581ce15cd..9b817037413f7e57cfcfb9e3b16866b83972eeb5 100644 (file)
@@ -62,6 +62,12 @@ extern unsigned long get_clock_freq(void);
 #define CONFIG_L2_CACHE                                /* toggle L2 cache      */
 #define CONFIG_BTB                             /* toggle branch predition */
 
+#ifdef CONFIG_MK_NAND
+#define CONFIG_NAND_U_BOOT             1
+#define CONFIG_RAMBOOT_NAND            1
+#define CONFIG_RAMBOOT_TEXT_BASE       0xf8f82000
+#endif
+
 /*
  * Only possible on E500 Version 2 or newer cores.
  */
@@ -73,17 +79,30 @@ extern unsigned long get_clock_freq(void);
 #define CONFIG_SYS_MEMTEST_START       0x00200000      /* memtest works on */
 #define CONFIG_SYS_MEMTEST_END         0x00400000
 
+/*
+ * Config the L2 Cache as L2 SRAM
+ */
+#define CONFIG_SYS_INIT_L2_ADDR                0xf8f80000
+#define CONFIG_SYS_INIT_L2_ADDR_PHYS   CONFIG_SYS_INIT_L2_ADDR
+#define CONFIG_SYS_L2_SIZE             (512 << 10)
+#define CONFIG_SYS_INIT_L2_END (CONFIG_SYS_INIT_L2_ADDR + CONFIG_SYS_L2_SIZE)
+
 /*
  * Base addresses -- Note these are effective addresses where the
  * actual resources get mapped (not physical addresses)
  */
-#define CONFIG_SYS_CCSRBAR_DEFAULT     0xff700000      /* CCSRBAR Default */
 #define CONFIG_SYS_CCSRBAR             0xe0000000      /* relocated CCSRBAR */
 #define CONFIG_SYS_CCSRBAR_PHYS        CONFIG_SYS_CCSRBAR
                                                /* physical addr of CCSRBAR */
 #define CONFIG_SYS_IMMR                CONFIG_SYS_CCSRBAR
                                                /* PQII uses CONFIG_SYS_IMMR */
 
+#if defined(CONFIG_RAMBOOT_NAND) && !defined(CONFIG_NAND_SPL)
+#define CONFIG_SYS_CCSRBAR_DEFAULT     CONFIG_SYS_CCSRBAR
+#else
+#define CONFIG_SYS_CCSRBAR_DEFAULT     0xff700000      /* CCSRBAR Default */
+#endif
+
 #define CONFIG_SYS_PCI1_ADDR           (CONFIG_SYS_CCSRBAR+0x8000)
 #define CONFIG_SYS_PCIE1_ADDR          (CONFIG_SYS_CCSRBAR+0xa000)
 
@@ -152,8 +171,8 @@ extern unsigned long get_clock_freq(void);
 #define CONFIG_SYS_BCSR_BASE_PHYS      CONFIG_SYS_BCSR_BASE
 
 /*Chip select 0 - Flash*/
-#define CONFIG_SYS_BR0_PRELIM          0xfe000801
-#define        CONFIG_SYS_OR0_PRELIM           0xfe000ff7
+#define CONFIG_FLASH_BR_PRELIM         0xfe000801
+#define        CONFIG_FLASH_OR_PRELIM          0xfe000ff7
 
 /*Chip select 1 - BCSR*/
 #define CONFIG_SYS_BR1_PRELIM          0xf8000801
@@ -175,12 +194,33 @@ extern unsigned long get_clock_freq(void);
 
 #define CONFIG_SYS_MONITOR_BASE        TEXT_BASE       /* start of monitor */
 
+#if defined(CONFIG_SYS_SPL) || defined(CONFIG_RAMBOOT_NAND)
+#define CONFIG_SYS_RAMBOOT
+#else
+#undef CONFIG_SYS_RAMBOOT
+#endif
+
 #define CONFIG_FLASH_CFI_DRIVER
 #define CONFIG_SYS_FLASH_CFI
 #define CONFIG_SYS_FLASH_EMPTY_INFO
 
 /* Chip select 3 - NAND */
+#ifndef CONFIG_NAND_SPL
 #define CONFIG_SYS_NAND_BASE           0xFC000000
+#else
+#define CONFIG_SYS_NAND_BASE           0xFFF00000
+#endif
+
+/* NAND boot: 4K NAND loader config */
+#define CONFIG_SYS_NAND_SPL_SIZE       0x1000
+#define CONFIG_SYS_NAND_U_BOOT_SIZE    ((512 << 10) - 0x2000)
+#define CONFIG_SYS_NAND_U_BOOT_DST     (CONFIG_SYS_INIT_L2_ADDR)
+#define CONFIG_SYS_NAND_U_BOOT_START \
+       (CONFIG_SYS_INIT_L2_ADDR + CONFIG_SYS_NAND_SPL_SIZE)
+#define CONFIG_SYS_NAND_U_BOOT_OFFS    (0)
+#define CONFIG_SYS_NAND_U_BOOT_RELOC   (CONFIG_SYS_INIT_L2_END - 0x2000)
+#define CONFIG_SYS_NAND_U_BOOT_RELOC_SP        ((CONFIG_SYS_INIT_L2_END - 1) & ~0xF)
+
 #define CONFIG_SYS_NAND_BASE_PHYS      CONFIG_SYS_NAND_BASE
 #define CONFIG_SYS_NAND_BASE_LIST      { CONFIG_SYS_NAND_BASE, }
 #define CONFIG_SYS_MAX_NAND_DEVICE     1
@@ -200,8 +240,18 @@ extern unsigned long get_clock_freq(void);
                                | OR_FCM_SCY_1 \
                                | OR_FCM_TRLX \
                                | OR_FCM_EHTR)
+
+#ifdef CONFIG_RAMBOOT_NAND
+#define CONFIG_SYS_BR0_PRELIM  CONFIG_NAND_BR_PRELIM   /* NAND Base Address */
+#define CONFIG_SYS_OR0_PRELIM  CONFIG_NAND_OR_PRELIM   /* NAND Options */
+#define CONFIG_SYS_BR3_PRELIM  CONFIG_FLASH_BR_PRELIM  /* NOR Base Address */
+#define CONFIG_SYS_OR3_PRELIM  CONFIG_FLASH_OR_PRELIM  /* NOR Options */
+#else
+#define CONFIG_SYS_BR0_PRELIM  CONFIG_FLASH_BR_PRELIM  /* NOR Base Address */
+#define CONFIG_SYS_OR0_PRELIM  CONFIG_FLASH_OR_PRELIM  /* NOR Options */
 #define CONFIG_SYS_BR3_PRELIM  CONFIG_NAND_BR_PRELIM /* NAND Base Address */
 #define CONFIG_SYS_OR3_PRELIM  CONFIG_NAND_OR_PRELIM /* NAND Options */
+#endif
 
 /*
  * SDRAM on the LocalBus
@@ -326,12 +376,14 @@ extern unsigned long get_clock_freq(void);
 #define CONFIG_SYS_UEC1_TX_CLK         QE_CLK12
 #define CONFIG_SYS_UEC1_ETH_TYPE       GIGA_ETH
 #define CONFIG_SYS_UEC1_PHY_ADDR       7
-#define CONFIG_SYS_UEC1_INTERFACE_MODE ENET_1000_RGMII_ID
+#define CONFIG_SYS_UEC1_INTERFACE_TYPE RGMII_ID
+#define CONFIG_SYS_UEC1_INTERFACE_SPEED 1000
 #elif defined(CONFIG_SYS_UCC_RMII_MODE)
 #define CONFIG_SYS_UEC1_TX_CLK         QE_CLK16        /* CLK16 for RMII */
 #define CONFIG_SYS_UEC1_ETH_TYPE       FAST_ETH
 #define CONFIG_SYS_UEC1_PHY_ADDR       8       /* 0x8 for RMII */
-#define CONFIG_SYS_UEC1_INTERFACE_MODE ENET_100_RMII
+#define CONFIG_SYS_UEC1_INTERFACE_TYPE RMII
+#define CONFIG_SYS_UEC1_INTERFACE_SPEED 100
 #endif /* CONFIG_SYS_UCC_RGMII_MODE */
 #endif /* CONFIG_UEC_ETH1 */
 
@@ -345,12 +397,14 @@ extern unsigned long get_clock_freq(void);
 #define CONFIG_SYS_UEC2_TX_CLK         QE_CLK17
 #define CONFIG_SYS_UEC2_ETH_TYPE       GIGA_ETH
 #define CONFIG_SYS_UEC2_PHY_ADDR       1
-#define CONFIG_SYS_UEC2_INTERFACE_MODE ENET_1000_RGMII_ID
+#define CONFIG_SYS_UEC2_INTERFACE_TYPE RGMII_ID
+#define CONFIG_SYS_UEC2_INTERFACE_SPEED 1000
 #elif defined(CONFIG_SYS_UCC_RMII_MODE)
 #define CONFIG_SYS_UEC2_TX_CLK         QE_CLK16        /* CLK 16 for RMII */
 #define CONFIG_SYS_UEC2_ETH_TYPE       FAST_ETH
 #define CONFIG_SYS_UEC2_PHY_ADDR       0x9     /* 0x9 for RMII */
-#define CONFIG_SYS_UEC2_INTERFACE_MODE ENET_100_RMII
+#define CONFIG_SYS_UEC2_INTERFACE_TYPE RMII
+#define CONFIG_SYS_UEC2_INTERFACE_SPEED 100
 #endif /* CONFIG_SYS_UCC_RGMII_MODE */
 #endif /* CONFIG_UEC_ETH2 */
 
@@ -364,12 +418,14 @@ extern unsigned long get_clock_freq(void);
 #define CONFIG_SYS_UEC3_TX_CLK         QE_CLK12
 #define CONFIG_SYS_UEC3_ETH_TYPE       GIGA_ETH
 #define CONFIG_SYS_UEC3_PHY_ADDR       2
-#define CONFIG_SYS_UEC3_INTERFACE_MODE ENET_1000_RGMII_ID
+#define CONFIG_SYS_UEC3_INTERFACE_TYPE RGMII_ID
+#define CONFIG_SYS_UEC3_INTERFACE_SPEED 1000
 #elif defined(CONFIG_SYS_UCC_RMII_MODE)
 #define CONFIG_SYS_UEC3_TX_CLK         QE_CLK16 /* CLK_16 for RMII */
 #define CONFIG_SYS_UEC3_ETH_TYPE       FAST_ETH
 #define CONFIG_SYS_UEC3_PHY_ADDR       0xA     /* 0xA for RMII */
-#define CONFIG_SYS_UEC3_INTERFACE_MODE ENET_100_RMII
+#define CONFIG_SYS_UEC3_INTERFACE_TYPE RMII
+#define CONFIG_SYS_UEC3_INTERFACE_SPEED 100
 #endif /* CONFIG_SYS_UCC_RGMII_MODE */
 #endif /* CONFIG_UEC_ETH3 */
 
@@ -383,12 +439,14 @@ extern unsigned long get_clock_freq(void);
 #define CONFIG_SYS_UEC4_TX_CLK         QE_CLK17
 #define CONFIG_SYS_UEC4_ETH_TYPE       GIGA_ETH
 #define CONFIG_SYS_UEC4_PHY_ADDR       3
-#define CONFIG_SYS_UEC4_INTERFACE_MODE ENET_1000_RGMII_ID
+#define CONFIG_SYS_UEC4_INTERFACE_TYPE RGMII_ID
+#define CONFIG_SYS_UEC4_INTERFACE_SPEED 1000
 #elif defined(CONFIG_SYS_UCC_RMII_MODE)
 #define CONFIG_SYS_UEC4_TX_CLK         QE_CLK16 /* CLK16 for RMII */
 #define CONFIG_SYS_UEC4_ETH_TYPE       FAST_ETH
 #define CONFIG_SYS_UEC4_PHY_ADDR       0xB     /* 0xB for RMII */
-#define CONFIG_SYS_UEC4_INTERFACE_MODE ENET_100_RMII
+#define CONFIG_SYS_UEC4_INTERFACE_TYPE RMII
+#define CONFIG_SYS_UEC4_INTERFACE_SPEED 100
 #endif /* CONFIG_SYS_UCC_RGMII_MODE */
 #endif /* CONFIG_UEC_ETH4 */
 
@@ -401,7 +459,8 @@ extern unsigned long get_clock_freq(void);
 #define CONFIG_SYS_UEC6_TX_CLK         QE_CLK_NONE
 #define CONFIG_SYS_UEC6_ETH_TYPE       GIGA_ETH
 #define CONFIG_SYS_UEC6_PHY_ADDR       4
-#define CONFIG_SYS_UEC6_INTERFACE_MODE ENET_1000_SGMII
+#define CONFIG_SYS_UEC6_INTERFACE_TYPE SGMII
+#define CONFIG_SYS_UEC6_INTERFACE_SPEED 1000
 #endif /* CONFIG_UEC_ETH6 */
 
 #undef CONFIG_UEC_ETH8         /* GETH8 */
@@ -413,7 +472,8 @@ extern unsigned long get_clock_freq(void);
 #define CONFIG_SYS_UEC8_TX_CLK         QE_CLK_NONE
 #define CONFIG_SYS_UEC8_ETH_TYPE       GIGA_ETH
 #define CONFIG_SYS_UEC8_PHY_ADDR       6
-#define CONFIG_SYS_UEC8_INTERFACE_MODE ENET_1000_SGMII
+#define CONFIG_SYS_UEC8_INTERFACE_TYPE SGMII
+#define CONFIG_SYS_UEC8_INTERFACE_SPEED 1000
 #endif /* CONFIG_UEC_ETH8 */
 
 #endif /* CONFIG_QE */
@@ -437,10 +497,18 @@ extern unsigned long get_clock_freq(void);
 /*
  * Environment
  */
+#if defined(CONFIG_SYS_RAMBOOT)
+#if defined(CONFIG_RAMBOOT_NAND)
+#define CONFIG_ENV_IS_IN_NAND  1
+#define CONFIG_ENV_SIZE                CONFIG_SYS_NAND_BLOCK_SIZE
+#define CONFIG_ENV_OFFSET      ((512 * 1024) + CONFIG_SYS_NAND_BLOCK_SIZE)
+#endif
+#else
 #define CONFIG_ENV_IS_IN_FLASH 1
 #define CONFIG_ENV_ADDR                (CONFIG_SYS_MONITOR_BASE - CONFIG_ENV_SECT_SIZE)
 #define CONFIG_ENV_SECT_SIZE   0x20000 /* 256K(one sector) for env */
 #define CONFIG_ENV_SIZE                CONFIG_ENV_SECT_SIZE
+#endif
 
 #define CONFIG_LOADS_ECHO      1       /* echo on for serial download */
 #define CONFIG_SYS_LOADS_BAUD_CHANGE   1       /* allow baudrate change */
index 590c69a19cc6422a968ba59b2ae68779674bbfb2..5de70cb4d85bb95376570ab6ebcb49e89a66c834 100644 (file)
 #define CONFIG_SYS_MEMTEST_START               PHYS_SDRAM
 #define CONFIG_SYS_MEMTEST_END                 CONFIG_SYS_MEMTEST_START + PHYS_SDRAM_SIZE - 262144
 
-#define CONFIG_DRIVER_ETHER
+#define CONFIG_NET_MULTI               1
+#ifdef CONFIG_NET_MULTI
+#define CONFIG_DRIVER_AT91EMAC         1
+#define CONFIG_SYS_RX_ETH_BUFFER       8
+#else
+#define CONFIG_DRIVER_ETHER            1
+#endif
+
 #define CONFIG_NET_RETRY_COUNT         20
 #define CONFIG_AT91C_USE_RMII
 
index b4f075ebc585279e172846a07b66572304410168..47508551f15c3988cafda13769a6da314558be32 100644 (file)
 /*
  * Network Driver Setting
  */
-#define CONFIG_DRIVER_ETHER
+#define CONFIG_NET_MULTI               1
+#ifdef CONFIG_NET_MULTI
+#define CONFIG_DRIVER_AT91EMAC         1
+#define CONFIG_SYS_RX_ETH_BUFFER       8
+#else
+#define CONFIG_DRIVER_ETHER            1
+#endif
 #define CONFIG_NET_RETRY_COUNT         20
 #define CONFIG_AT91C_USE_RMII
 
index be478b24e41dea28a1a3166f0b9ffb2b1cc19f0a..00d0cec4fdc24518972a1eeaf6ab9c75e7707511 100644 (file)
 #define CONFIG_SYS_MEMTEST_START       PHYS_SDRAM
 #define CONFIG_SYS_MEMTEST_END         CONFIG_SYS_MEMTEST_START + PHYS_SDRAM_SIZE - 262144
 
-#define CONFIG_DRIVER_ETHER
+#define CONFIG_NET_MULTI               1
+#ifdef CONFIG_NET_MULTI
+#define CONFIG_DRIVER_AT91EMAC         1
+#define CONFIG_SYS_RX_ETH_BUFFER       8
+#else
+#define CONFIG_DRIVER_ETHER            1
+#endif
 #define CONFIG_NET_RETRY_COUNT         20
 #define CONFIG_AT91C_USE_RMII
 
index 8746f702da729291ac1fcebe0b5d93888b7bdc89..e872fe9abd18a2b28491ba29b6619d1c13c238ed 100644 (file)
 #define CONFIG_SYS_MEMTEST_END                 \
        (CONFIG_SYS_MEMTEST_START + PHYS_SDRAM_SIZE - 512 * 1024)
 
-#define CONFIG_DRIVER_ETHER                    1
+#define CONFIG_NET_MULTI               1
+#ifdef CONFIG_NET_MULTI
+#define CONFIG_DRIVER_AT91EMAC         1
+#define CONFIG_SYS_RX_ETH_BUFFER       8
+#else
+#define CONFIG_DRIVER_ETHER            1
+#endif
 #define CONFIG_NET_RETRY_COUNT                 20
 #define CONFIG_AT91C_USE_RMII                  1
 #define CONFIG_PHY_ADDRESS                     (1 << 5)
index f4fd808e46e9d1d363beb68f637326a359165912..689e7f0030f0e056a89cf13effadaa73c393f48c 100644 (file)
 #define CONFIG_SYS_ALT_MEMTEST                 1
 #define CONFIG_SYS_MEMTEST_SCRATCH             CONFIG_SYS_MEMTEST_START + PHYS_SDRAM_SIZE - 4
 
-#define CONFIG_DRIVER_ETHER
+#define CONFIG_NET_MULTI               1
+#ifdef CONFIG_NET_MULTI
+#define CONFIG_DRIVER_AT91EMAC         1
+#define CONFIG_SYS_RX_ETH_BUFFER       8
+#else
+#define CONFIG_DRIVER_ETHER            1
+#endif
 #define CONFIG_NET_RETRY_COUNT         20
 #undef CONFIG_AT91C_USE_RMII
 
index 7dd81e69cb91c1aa77e96b4e9818ca6961cd1514..3fe88feec21042527fe17d690bff49a605f433e0 100644 (file)
 #define CONFIG_SYS_MEMTEST_START               PHYS_SDRAM
 #define CONFIG_SYS_MEMTEST_END                 CONFIG_SYS_MEMTEST_START + PHYS_SDRAM_SIZE - (512*1024)
 
-#define CONFIG_DRIVER_ETHER
+#define CONFIG_NET_MULTI               1
+#ifdef CONFIG_NET_MULTI
+#define CONFIG_DRIVER_AT91EMAC         1
+#define CONFIG_SYS_RX_ETH_BUFFER       8
+#else
+#define CONFIG_DRIVER_ETHER            1
+#endif
 #define CONFIG_NET_RETRY_COUNT         20
 
 #define CONFIG_SYS_FLASH_BASE                  0x10000000
index 0327b971b5414a9967646cc5191c17da3e269368..d27b75b6471177755723ef5b898e532b7f4c995d 100644 (file)
 #define CONFIG_SYS_UEC1_TX_CLK         QE_CLK17
 #define CONFIG_SYS_UEC1_ETH_TYPE       FAST_ETH
 #define CONFIG_SYS_UEC1_PHY_ADDR       0
-#define CONFIG_SYS_UEC1_INTERFACE_MODE ENET_100_RMII
+#define CONFIG_SYS_UEC1_INTERFACE_TYPE RMII
+#define CONFIG_SYS_UEC1_INTERFACE_SPEED 100
 #endif
 
 /*
index 5c066426cf7f05e36c39c5b7419dc9d17fc62401..a28fd27d4283569f60ca909adbde8d2a7a80c2d7 100644 (file)
@@ -34,6 +34,7 @@
 #define AT91C_MASTER_CLOCK     59904000
 #define AT91_SLOW_CLOCK        32768 /* slow clock */
 
+#define CONFIG_AT91RM9200      1       /* It's an Atmel AT91RM9200 SoC */
 #define CONFIG_AT91RM9200DK    1 /* on an AT91RM9200DK Board    */
 #undef CONFIG_USE_IRQ /* we don't need IRQ/FIQ stuff */
 #define CONFIG_CMDLINE_TAG     1 /* enable passing of ATAGs    */
 /* CONFIG_SYS_MEMTEST_START + PHYS_SDRAM_SIZE - 262144 */
 #define CONFIG_SYS_MEMTEST_END 0x00100000
 
-#define CONFIG_DRIVER_ETHER
+#define CONFIG_NET_MULTI               1
+#ifdef CONFIG_NET_MULTI
+#define CONFIG_DRIVER_AT91EMAC         1
+#define CONFIG_SYS_RX_ETH_BUFFER       8
+#else
+#define CONFIG_DRIVER_ETHER            1
+#endif
 #define CONFIG_NET_RETRY_COUNT 20
 #define CONFIG_AT91C_USE_RMII
 
index 0c2ee6057ee5f07d3bedce4569ba6e3defa1d55d..31eb1b666c7e80e6397b18f53ea06a10476d2c74 100644 (file)
 #define CONFIG_SYS_MEMTEST_START       PHYS_SDRAM
 #define CONFIG_SYS_MEMTEST_END         CONFIG_SYS_MEMTEST_START + PHYS_SDRAM_SIZE - 262144
 
-#define CONFIG_DRIVER_ETHER
+#define CONFIG_NET_MULTI               1
+#ifdef CONFIG_NET_MULTI
+#define CONFIG_DRIVER_AT91EMAC         1
+#define CONFIG_SYS_RX_ETH_BUFFER       8
+#else
+#define CONFIG_DRIVER_ETHER            1
+#endif
 #define CONFIG_NET_RETRY_COUNT         20
 #undef CONFIG_AT91C_USE_RMII
 
index da5be379d1984113fbe3c173da9249c0ba34957a..279aaa55de055a792e150e658dec5e88a4d14bfd 100644 (file)
@@ -1,5 +1,4 @@
 /*
- *
  * (c) 2009 Magnus Lilja <lilja.magnus@gmail.com>
  *
  * See file CREDITS for list of people who contributed to this
 #define __FSL_NFC_H
 
 /*
+ * TODO: Use same register defs for nand_spl mxc nand driver
+ * and mtd mxc nand driver.
+ *
  * Register map and bit definitions for the Freescale NAND Flash
- * Controller present in i.MX31 and other devices.
+ * Controller present in various i.MX devices.
+ *
+ * MX31 and MX27 have version 1 which has
+ *     4 512 byte main buffers and
+ *     4 16 byte spare buffers
+ *     to support up to 2K byte pagesize nand.
+ *     Reading or writing a 2K page requires 4 FDI/FDO cycles.
+ *
+ * MX25 has version 1.1 which has
+ *     8 512 byte main buffers and
+ *     8 64 byte spare buffers
+ *     to support up to 4K byte pagesize nand.
+ *     Reading or writing a 2K or 4K page requires only 1 FDI/FDO cycle.
+ *      Also some of registers are moved and/or changed meaning as seen below.
  */
+#if defined(CONFIG_MX31) || defined(CONFIG_MX27)
+#define MXC_NFC_V1
+#elif defined(CONFIG_MX25)
+#define MXC_NFC_V1_1
+#else
+#warning "MXC NFC version not defined"
+#endif
+
+#if defined(MXC_NFC_V1)
+#define NAND_MXC_NR_BUFS               4
+#define NAND_MXC_SPARE_BUF_SIZE                16
+#define NAND_MXC_REG_OFFSET            0xe00
+#define NAND_MXC_2K_MULTI_CYCLE                1
+#elif defined(MXC_NFC_V1_1)
+#define NAND_MXC_NR_BUFS               8
+#define NAND_MXC_SPARE_BUF_SIZE                64
+#define NAND_MXC_REG_OFFSET            0x1e00
+#else
+#error "define CONFIG_NAND_MXC_VXXX to use the mxc spl_nand driver"
+#endif
 
 struct fsl_nfc_regs {
-       u32 main_area0[128]; /* @0x000 */
-       u32 main_area1[128];
-       u32 main_area2[128];
-       u32 main_area3[128];
-       u32 spare_area0[4];
-       u32 spare_area1[4];
-       u32 spare_area2[4];
-       u32 spare_area3[4];
-       u32 reserved1[64 - 16 + 64 * 5];
-       u16 bufsiz; /* @ 0xe00 */
+       u32 main_area[NAND_MXC_NR_BUFS][512/4];
+       u32 spare_area[NAND_MXC_NR_BUFS][NAND_MXC_SPARE_BUF_SIZE/4];
+       /*
+        * reserved size is offset of nfc registers
+        * minus total main and spare sizes
+        */
+       u8 reserved1[NAND_MXC_REG_OFFSET
+               - NAND_MXC_NR_BUFS * (512 + NAND_MXC_SPARE_BUF_SIZE)];
+#if defined(MXC_NFC_V1)
+       u16 bufsiz;
        u16 reserved2;
        u16 buffer_address;
        u16 flash_add;
@@ -54,6 +89,30 @@ struct fsl_nfc_regs {
        u16 nand_flash_wr_pr_st;
        u16 nand_flash_config1;
        u16 nand_flash_config2;
+#elif defined(MXC_NFC_V1_1)
+       u16 reserved2[2];
+       u16 buffer_address;
+       u16 flash_add;
+       u16 flash_cmd;
+       u16 configuration;
+       u16 ecc_status_result;
+       u16 ecc_status_result2;
+       u16 spare_area_size;
+       u16 nf_wr_prot;
+       u16 reserved3[2];
+       u16 nand_flash_wr_pr_st;
+       u16 nand_flash_config1;
+       u16 nand_flash_config2;
+       u16 reserved4;
+       u16 unlock_start_blk_add0;
+       u16 unlock_end_blk_add0;
+       u16 unlock_start_blk_add1;
+       u16 unlock_end_blk_add1;
+       u16 unlock_start_blk_add2;
+       u16 unlock_end_blk_add2;
+       u16 unlock_start_blk_add3;
+       u16 unlock_end_blk_add3;
+#endif
 };
 
 /*
@@ -98,6 +157,9 @@ struct fsl_nfc_regs {
  */
 #define NFC_INT                0x8000
 
+#ifdef MXC_NFC_V1_1
+#define NFC_4_8N_ECC   (1 << 0)
+#endif
 #define NFC_SP_EN      (1 << 2)
 #define NFC_ECC_EN     (1 << 3)
 #define NFC_INT_MSK    (1 << 4)
index a9d5ec98319de8fd22fdececd1e10f3a32b48170..1dd80f059378eafe16a7832a37fbeca6d40be57a 100644 (file)
@@ -42,6 +42,7 @@ int cpu_eth_init(bd_t *bis);
 
 /* Driver initialization prototypes */
 int au1x00_enet_initialize(bd_t*);
+int at91emac_register(bd_t *bis, unsigned long iobase);
 int bfin_EMAC_initialize(bd_t *bis);
 int cs8900_initialize(u8 dev_num, int base_addr);
 int dc21x4x_initialize(bd_t *bis);
@@ -49,6 +50,7 @@ int davinci_emac_initialize(void);
 int dnet_eth_initialize(int id, void *regs, unsigned int phy_addr);
 int e1000_initialize(bd_t *bis);
 int eepro100_initialize(bd_t *bis);
+int ep93xx_eth_initialize(u8 dev_num, int base_addr);
 int eth_3com_initialize (bd_t * bis);
 int fec_initialize (bd_t *bis);
 int fecmxc_initialize (bd_t *bis);
index d9405fd287591634d24d52b339cd2ec55da2ec0e..ea65b2d828c64e70b53d8af058fa37b00e1a72fd 100644 (file)
@@ -4,6 +4,7 @@
 #define __NOMADIK_H__
 
 /* Base addresses of our peripherals */
+#define NOMADIK_CLCDC_BASE     0x10120000      /* CLCD Controller */
 #define NOMADIK_SRC_BASE       0x101E0000      /* System and Reset Cnt */
 #define NOMADIK_PMU_BASE       0x101E9000      /* Power Management Unit */
 #define NOMADIK_MPMC_BASE      0x10110000      /* SDRAM Controller */
index f56723a153508d7f9f85384616bb891992f203dd..1e903659ebacfd63157812122837e0ee96add75b 100644 (file)
 #define MIIM_BCM54xx_AUXSTATUS_LINKMODE_MASK   0x0700
 #define MIIM_BCM54xx_AUXSTATUS_LINKMODE_SHIFT  8
 
+#define MIIM_BCM54XX_SHD       0x1c    /* 0x1c shadow registers */
+#define MIIM_BCM54XX_SHD_WRITE 0x8000
+#define MIIM_BCM54XX_SHD_VAL(x)        ((x & 0x1f) << 10)
+#define MIIM_BCM54XX_SHD_DATA(x)       ((x & 0x3ff) << 0)
+#define MIIM_BCM54XX_SHD_WR_ENCODE(val, data)  \
+       (MIIM_BCM54XX_SHD_WRITE | MIIM_BCM54XX_SHD_VAL(val) | \
+        MIIM_BCM54XX_SHD_DATA(data))
+
+#define MIIM_BCM54XX_EXP_DATA  0x15    /* Expansion register data */
+#define MIIM_BCM54XX_EXP_SEL   0x17    /* Expansion register select */
+#define MIIM_BCM54XX_EXP_SEL_SSD       0x0e00  /* Secondary SerDes select */
+#define MIIM_BCM54XX_EXP_SEL_ER        0x0f00  /* Expansion register select */
+
 /* Cicada Auxiliary Control/Status Register */
 #define MIIM_CIS8201_AUX_CONSTAT       0x1c
 #define MIIM_CIS8201_AUXCONSTAT_INIT   0x0004
@@ -571,9 +584,9 @@ typedef struct tsec
 
 /* This flag currently only has
  * meaning if we're using the eTSEC */
-#define TSEC_REDUCED   (1 << 1)
-
-#define TSEC_SGMII     (1 << 2)
+#define TSEC_REDUCED   (1 << 1)        /* MAC-PHY interface uses RGMII */
+#define TSEC_SGMII     (1 << 2)        /* MAC-PHY interface uses SGMII */
+#define TSEC_FIBER     (1 << 3)        /* PHY uses fiber, eg 1000 Base-X */
 
 struct tsec_private {
        volatile tsec_t *regs;
@@ -644,7 +657,6 @@ struct tsec_info_struct {
        u32 flags;
 };
 
-int tsec_initialize(bd_t * bis, struct tsec_info_struct *tsec_info);
 int tsec_standard_init(bd_t *bis);
 int tsec_eth_init(bd_t *bis, struct tsec_info_struct *tsec_info, int num);
 
diff --git a/nand_spl/board/freescale/mpc8569mds/Makefile b/nand_spl/board/freescale/mpc8569mds/Makefile
new file mode 100644 (file)
index 0000000..7ed9d61
--- /dev/null
@@ -0,0 +1,133 @@
+#
+# (C) Copyright 2007
+# Stefan Roese, DENX Software Engineering, sr@denx.de.
+#
+# Copyright 2009 Freescale Semiconductor, Inc.
+#
+# See file CREDITS for list of people who contributed to this
+# project.
+#
+# This program is free software; you can redistribute it and/or
+# modify it under the terms of the GNU General Public License as
+# published by the Free Software Foundation; either version 2 of
+# the License, or (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program; if not, write to the Free Software
+# Foundation, Inc., 59 Temple Place, Suite 330, Boston,
+# MA 02111-1307 USA
+#
+
+NAND_SPL := y
+TEXT_BASE := 0xfff00000
+PAD_TO := 0xfff01000
+
+include $(TOPDIR)/config.mk
+
+LDSCRIPT= $(TOPDIR)/cpu/$(CPU)/u-boot-nand_spl.lds
+LDFLAGS        = -Bstatic -T $(LDSCRIPT) -Ttext $(TEXT_BASE) $(PLATFORM_LDFLAGS)
+AFLAGS += -DCONFIG_NAND_SPL
+CFLAGS += -DCONFIG_NAND_SPL
+
+SOBJS  = start.o resetvec.o
+COBJS  = cache.o cpu_init_early.o cpu_init_nand.o fsl_law.o law.o \
+         nand_boot.o nand_boot_fsl_elbc.o ns16550.o tlb.o tlb_table.o
+
+SRCS   := $(addprefix $(obj),$(SOBJS:.o=.S) $(COBJS:.o=.c))
+OBJS   := $(addprefix $(obj),$(SOBJS) $(COBJS))
+__OBJS := $(SOBJS) $(COBJS)
+LNDIR  := $(OBJTREE)/nand_spl/board/$(BOARDDIR)
+
+nandobj        := $(OBJTREE)/nand_spl/
+
+ALL    = $(nandobj)u-boot-spl $(nandobj)u-boot-spl.bin $(nandobj)u-boot-spl-16k.bin
+
+all:   $(obj).depend $(ALL)
+
+$(nandobj)u-boot-spl-16k.bin: $(nandobj)u-boot-spl
+       $(OBJCOPY) ${OBJCFLAGS} --pad-to=$(PAD_TO) -O binary $< $@
+
+$(nandobj)u-boot-spl.bin:      $(nandobj)u-boot-spl
+       $(OBJCOPY) ${OBJCFLAGS} -O binary $< $@
+
+$(nandobj)u-boot-spl:  $(OBJS)
+       cd $(LNDIR) && $(LD) $(LDFLAGS) $(__OBJS) $(PLATFORM_LIBS) \
+               -Map $(nandobj)u-boot-spl.map \
+               -o $(nandobj)u-boot-spl
+
+# create symbolic links for common files
+
+$(obj)cache.c:
+       @rm -f $(obj)cache.c
+       ln -sf $(SRCTREE)/lib_ppc/cache.c $(obj)cache.c
+
+$(obj)cpu_init_early.c:
+       @rm -f $(obj)cpu_init_early.c
+       ln -sf $(SRCTREE)/cpu/mpc85xx/cpu_init_early.c $(obj)cpu_init_early.c
+
+$(obj)cpu_init_nand.c:
+       @rm -f $(obj)cpu_init_nand.c
+       ln -sf $(SRCTREE)/cpu/mpc85xx/cpu_init_nand.c $(obj)cpu_init_nand.c
+
+$(obj)fsl_law.c:
+       @rm -f $(obj)fsl_law.c
+       ln -sf $(SRCTREE)/drivers/misc/fsl_law.c $(obj)fsl_law.c
+
+$(obj)law.c:
+       @rm -f $(obj)law.c
+       ln -sf $(SRCTREE)/board/$(BOARDDIR)/law.c $(obj)law.c
+
+$(obj)nand_boot_fsl_elbc.c:
+       @rm -f $(obj)nand_boot_fsl_elbc.c
+       ln -sf $(SRCTREE)/nand_spl/nand_boot_fsl_elbc.c \
+              $(obj)nand_boot_fsl_elbc.c
+
+$(obj)ns16550.c:
+       @rm -f $(obj)ns16550.c
+       ln -sf $(SRCTREE)/drivers/serial/ns16550.c $(obj)ns16550.c
+
+$(obj)resetvec.S:
+       @rm -f $(obj)resetvec.S
+       ln -s $(SRCTREE)/cpu/$(CPU)/resetvec.S $(obj)resetvec.S
+
+$(obj)fixed_ivor.S:
+       @rm -f $(obj)fixed_ivor.S
+       ln -sf $(SRCTREE)/cpu/mpc85xx/fixed_ivor.S $(obj)fixed_ivor.S
+
+$(obj)start.S: $(obj)fixed_ivor.S
+       @rm -f $(obj)start.S
+       ln -sf $(SRCTREE)/cpu/mpc85xx/start.S $(obj)start.S
+
+$(obj)tlb.c:
+       @rm -f $(obj)tlb.c
+       ln -sf $(SRCTREE)/cpu/mpc85xx/tlb.c $(obj)tlb.c
+
+$(obj)tlb_table.c:
+       @rm -f $(obj)tlb_table.c
+       ln -sf $(SRCTREE)/board/$(BOARDDIR)/tlb.c $(obj)tlb_table.c
+
+ifneq ($(OBJTREE), $(SRCTREE))
+$(obj)nand_boot.c:
+       @rm -f $(obj)nand_boot.c
+       ln -s $(SRCTREE)/nand_spl/board/$(BOARDDIR)/nand_boot.c $(obj)nand_boot.c
+endif
+
+#########################################################################
+
+$(obj)%.o:     $(obj)%.S
+       $(CC) $(AFLAGS) -c -o $@ $<
+
+$(obj)%.o:     $(obj)%.c
+       $(CC) $(CFLAGS) -c -o $@ $<
+
+# defines $(obj).depend target
+include $(SRCTREE)/rules.mk
+
+sinclude $(obj).depend
+
+#########################################################################
diff --git a/nand_spl/board/freescale/mpc8569mds/nand_boot.c b/nand_spl/board/freescale/mpc8569mds/nand_boot.c
new file mode 100644 (file)
index 0000000..e030656
--- /dev/null
@@ -0,0 +1,75 @@
+/*
+ * Copyright 2009 Freescale Semiconductor, Inc.
+ *
+ * This program is free software; you can redistribute it and/or
+ * modify it under the terms of the GNU General Public License as
+ * published by the Free Software Foundation; either version 2 of
+ * the License, or (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ *
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
+ * MA 02111-1307 USA
+ *
+ */
+#include <common.h>
+#include <mpc85xx.h>
+#include <asm-ppc/io.h>
+#include <ns16550.h>
+#include <nand.h>
+#include <asm/mmu.h>
+#include <asm/immap_85xx.h>
+#include <asm/fsl_ddr_sdram.h>
+#include <asm/fsl_law.h>
+
+#define SYSCLK_66       66666666
+
+DECLARE_GLOBAL_DATA_PTR;
+
+void board_init_f(ulong bootflag)
+{
+       uint plat_ratio, bus_clk, sys_clk;
+       volatile ccsr_gur_t *gur = (void *)(CONFIG_SYS_MPC85xx_GUTS_ADDR);
+
+       sys_clk = SYSCLK_66;
+
+       plat_ratio = gur->porpllsr & 0x0000003e;
+       plat_ratio >>= 1;
+       bus_clk = plat_ratio * sys_clk;
+       NS16550_init((NS16550_t)CONFIG_SYS_NS16550_COM1,
+                       bus_clk / 16 / CONFIG_BAUDRATE);
+
+       puts("\nNAND boot... ");
+
+       /* copy code to DDR and jump to it - this should not return */
+       /* NOTE - code has to be copied out of NAND buffer before
+        * other blocks can be read.
+        */
+       relocate_code(CONFIG_SYS_NAND_U_BOOT_RELOC_SP, 0,
+                       CONFIG_SYS_NAND_U_BOOT_RELOC);
+}
+
+void board_init_r(gd_t *gd, ulong dest_addr)
+{
+       nand_boot();
+}
+
+void putc(char c)
+{
+       if (c == '\n')
+               NS16550_putc((NS16550_t)CONFIG_SYS_NS16550_COM1, '\r');
+
+       NS16550_putc((NS16550_t)CONFIG_SYS_NS16550_COM1, c);
+}
+
+void puts(const char *str)
+{
+       while (*str)
+               putc(*str++);
+}
index a9df2a8273f30e9d2e65a2962762a04251a85f7d..f4040a7cf9e8d4f42aafc3a997971e28ee9274b8 100644 (file)
 
 #include <common.h>
 #include <nand.h>
+#ifdef CONFIG_MX31
 #include <asm-arm/arch/mx31-regs.h>
+#else
+#include <asm-arm/arch/imx-regs.h>
+#endif
 #include <asm/io.h>
 #include <fsl_nfc.h>
 
-static struct fsl_nfc_regs *nfc;
+struct fsl_nfc_regs *nfc;
 
 static void nfc_wait_ready(void)
 {
@@ -45,13 +49,35 @@ static void nfc_wait_ready(void)
        writew(tmp, &nfc->nand_flash_config2);
 }
 
-static void nfc_nand_init(void)
+void nfc_nand_init(void)
 {
+#if defined(MXC_NFC_V1_1)
+       int ecc_per_page  = CONFIG_SYS_NAND_PAGE_SIZE / 512;
+       int config1;
+
+       writew(CONFIG_SYS_NAND_SPARE_SIZE / 2, &nfc->spare_area_size);
+
+       /* unlocking RAM Buff */
+       writew(0x2, &nfc->configuration);
+
+       /* hardware ECC checking and correct */
+       config1 = readw(&nfc->nand_flash_config1) | NFC_ECC_EN | 0x800;
+       /*
+        * if spare size is larger that 16 bytes per 512 byte hunk
+        * then use 8 symbol correction instead of 4
+        */
+       if ((CONFIG_SYS_NAND_SPARE_SIZE / ecc_per_page) > 16)
+               config1 &= ~NFC_4_8N_ECC;
+       else
+               config1 |= NFC_4_8N_ECC;
+       writew(config1, &nfc->nand_flash_config1);
+#elif defined(MXC_NFC_V1)
        /* unlocking RAM Buff */
        writew(0x2, &nfc->configuration);
 
        /* hardware ECC checking and correct */
        writew(NFC_ECC_EN, &nfc->nand_flash_config1);
+#endif
 }
 
 static void nfc_nand_command(unsigned short command)
@@ -65,12 +91,12 @@ static void nfc_nand_page_address(unsigned int page_address)
 {
        unsigned int page_count;
 
-       writew(0x00, &nfc->flash_cmd);
+       writew(0x00, &nfc->flash_add);
        writew(NFC_ADDR, &nfc->nand_flash_config2);
        nfc_wait_ready();
 
-       /* code only for 2kb flash */
-       if (CONFIG_SYS_NAND_PAGE_SIZE == 0x800) {
+       /* code only for large page flash */
+       if (CONFIG_SYS_NAND_PAGE_SIZE > 512) {
                writew(0x00, &nfc->flash_add);
                writew(NFC_ADDR, &nfc->nand_flash_config2);
                nfc_wait_ready();
@@ -88,22 +114,38 @@ static void nfc_nand_page_address(unsigned int page_address)
                        page_count = page_count >> 8;
                } while (page_count);
        }
+
+       writew(0x00, &nfc->flash_add);
+       writew(NFC_ADDR, &nfc->nand_flash_config2);
+       nfc_wait_ready();
 }
 
 static void nfc_nand_data_output(void)
 {
+       int config1 = readw(&nfc->nand_flash_config1);
+#ifdef NAND_MXC_2K_MULTI_CYCLE
        int i;
+#endif
 
+       config1 |= NFC_ECC_EN | NFC_INT_MSK;
+       writew(config1, &nfc->nand_flash_config1);
+       writew(0, &nfc->buffer_address);
+       writew(NFC_OUTPUT, &nfc->nand_flash_config2);
+       nfc_wait_ready();
+#ifdef NAND_MXC_2K_MULTI_CYCLE
        /*
-        * The NAND controller requires four output commands for
-        * large page devices.
+        * This NAND controller requires multiple input commands
+        * for pages larger than 512 bytes.
         */
-       for (i = 0; i < (CONFIG_SYS_NAND_PAGE_SIZE / 512); i++) {
-               writew(NFC_ECC_EN, &nfc->nand_flash_config1);
-               writew(i, &nfc->buffer_address); /* read in i:th buffer */
+       for (i = 1; i < (CONFIG_SYS_NAND_PAGE_SIZE / 512); i++) {
+               config1 = readw(&nfc->nand_flash_config1);
+               config1 |= NFC_ECC_EN | NFC_INT_MSK;
+               writew(config1, &nfc->nand_flash_config1);
+               writew(i, &nfc->buffer_address);
                writew(NFC_OUTPUT, &nfc->nand_flash_config2);
                nfc_wait_ready();
        }
+#endif
 }
 
 static int nfc_nand_check_ecc(void)
@@ -121,7 +163,7 @@ static int nfc_read_page(unsigned int page_address, unsigned char *buf)
        nfc_nand_command(NAND_CMD_READ0);
        nfc_nand_page_address(page_address);
 
-       if (CONFIG_SYS_NAND_PAGE_SIZE == 0x800)
+       if (CONFIG_SYS_NAND_PAGE_SIZE > 512)
                nfc_nand_command(NAND_CMD_READSTART);
 
        nfc_nand_data_output(); /* fill the main buffer 0 */
@@ -129,7 +171,7 @@ static int nfc_read_page(unsigned int page_address, unsigned char *buf)
        if (nfc_nand_check_ecc())
                return -1;
 
-       src = &nfc->main_area0[0];
+       src = &nfc->main_area[0][0];
        dst = (u32 *)buf;
 
        /* main copy loop from NAND-buffer to SDRAM memory */
@@ -154,12 +196,12 @@ static int is_badblock(int pagenumber)
                nfc_nand_command(NAND_CMD_READ0);
                nfc_nand_page_address(page);
 
-               if (CONFIG_SYS_NAND_PAGE_SIZE == 0x800)
+               if (CONFIG_SYS_NAND_PAGE_SIZE > 512)
                        nfc_nand_command(NAND_CMD_READSTART);
 
                nfc_nand_data_output(); /* fill the main buffer 0 */
 
-               src = &nfc->spare_area0[0];
+               src = &nfc->spare_area[0][0];
 
                /*
                 * IMPORTANT NOTE: The nand flash controller uses a non-
@@ -209,7 +251,7 @@ static int nand_load(unsigned int from, unsigned int size, unsigned char *buf)
                if (!(page % CONFIG_SYS_NAND_PAGE_COUNT)) {
                        /*
                         * Yes, new block. See if this block is good. If not,
-                        * loop until we find i good block.
+                        * loop until we find a good block.
                         */
                        while (is_badblock(page)) {
                                page = page + CONFIG_SYS_NAND_PAGE_COUNT;